TABLE DES MATIÈRES

 

REMERCIEMENTS............................................................................................................................................................... 3

INTRODUCTION.................................................................................................................................................................... 3

COLLABORATIONS EN 2011-2012.................................................................................................................................. 3

OBJECTIFS DU GR2M.......................................................................................................................................................... 4

COMPOSITION DU GROUPE.............................................................................................................................................. 4

Liste des membres réguliers........................................................................................................................... 4

Liste des membres associés............................................................................................................................... 5

Liste des chercheurs post doctoraux et autres professionnels........................................ 5

PROGRAMME DE RECHERCHE EN MICROÉLECTRONIQUE............................................................................... 6

Domaines......................................................................................................................................................................... 6

Activités des membres réguliers................................................................................................................. 6

ACTIVITÉS DU PROFESSEUR BOIS............................................................................................................................ 7

ACTIVITÉS DU PROFESSEUR DAVID........................................................................................................................ 8

ACTIVITÉS DU PROFESSEUR AUDET....................................................................................................................... 9

ACTIVITÉS DU PROFESSEUR BOYER..................................................................................................................... 10

ACTIVITÉS DU PROFESSEUR BRAULT.................................................................................................................. 11

ACTIVITÉS DU PROFESSEUR LANGLOIS.............................................................................................................. 12

ACTIVITÉS DU PROFESSEUR MARTEL................................................................................................................. 13

ACTIVITÉS DU PROFESSEUR NICOLESCU........................................................................................................... 14

ACTIVITÉS DU PROFESSEUR SAVARIA................................................................................................................ 15

ACTIVITÉS DU PROFESSEUR SAWAN.................................................................................................................... 16

ÉTUDIANTS AUX CYCLES SUPÉRIEURS................................................................................................................... 17

ÉTUDIANTS NOUVELLEMENT INSCRITS............................................................................................................. 18

TITRES DES PROJETS ET DIPLÔMES EN COURS DE CHAQUE ÉTUDIANT................................................ 19

SUBVENTIONS ET CONTRATS...................................................................................................................................... 95

Subventions, contrats et conventions de recherche individuelles............................. 95

Subventions, contrats et conventions de recherche de groupe....................................... 97

ÉQUIPEMENT ÉLECTRONIQUE.................................................................................................................................. 100

ÉQUIPEMENT APPARTENANT AU GROUPE (www.GR2M.polymtl.ca).................................................. 100

Laboratoire LASEM (GR2M/PolyStim/Lasem).......................................................................................... 102

ÉQUIPEMENT PRÊTÉ PAR LA SCM (WWW.CMC.CA).......................................................................................... 102

ÉQUIPEMENT INFORMATIQUE.................................................................................................................................. 103

Équipement informatique prêté par la CMC (www.CMC.ca)................................................... 103

Équipement informatique appartenant au GR2M (www.GRM.polymtl.ca)................... 103

LOGICIELS DE MICROÉLECTRONIQUE (EDA)..................................................................................................... 104

Logiciels disponibles au GR2M (www.GRM.polymtl.ca)............................................................. 104

PUBLICATIONS ET RÉALISATIONS.......................................................................................................................... 105

Articles de revues acceptés pour publication............................................................................. 105

Articles de revues publiés de septembre 2011 à décembre 2012......................................... 105

Articles de revues publiés de septembre 2010 à août 2011..................................................... 108

Articles de conférence de septembre 2011 à décembre 2012................................................ 110

Articles de conférence de septembre 2010 à août 2011............................................................ 110

AUTRES PUBLICATIONS (invitation)............................................................................................................. 110

BREVETS........................................................................................................................................................................ 110

LIVRES............................................................................................................................................................................ 110

 


 

REMERCIEMENTS

 

Nous désirons remercier tous les membres du GR2M (Groupe de Recherche en Microélectronique et Microsystèmes) professeurs et étudiants pour l’effort et l’attention qu’ils ont accordés afin de compléter leurs parties du présent rapport.  Nos remerciements s’adressent aussi à mesdames Ghyslaine Éthier Carrier pour son excellent travail de secrétariat afin de produire ce rapport et à Réjean Lepage pour sa collaboration à sa diffusion sur le WEB.

 

INTRODUCTION

 

Le Groupe de Recherche en Microélectronique et Microsystèmes (GR2M) de l’École Polytechnique de Montréal a poursuivi sa progression sur plusieurs fronts.  Le présent document décrit ses objectifs, la composition du groupe, les subventions et contrats obtenus, les équipements et outils qu’il possède et les publications et principales réalisations récentes. Pendant l’année 2011 – 2012, 80 étudiants inscrits à la maîtrise et au doctorat, un professionnel et deux techniciens ont participé aux travaux de recherche du groupe, sous la direction de différents professeurs du GR2M et en collaboration avec des collègues des milieux universitaire et industriel.  Les membres du groupe ont connu des succès aux programmes de subvention du Conseil de Recherche en Sciences Naturelles et en Génie du Canada (CRSNG) auprès du Fonds Québécois de la recherche sur la nature et les technologies (FQRNT), ainsi qu’au Programme de Recherche Orientée en Microélectronique, photonique et télécommunication.  Citons aussi les projets réalisés avec des partenaires industriels. Le groupe vise un équilibre entre les recherches orientées et les recherches académiques, les premières influençant grandement les orientations développées dans les dernières.  Nous croyons fermement qu’il s’agit là d’un gage de pertinence et de qualité des travaux et des orientations prises par le groupe.

 

COLLABORATIONS EN 2011-2012

L’année 2011 - 2012 a été marquée par plusieurs faits saillants, notamment les collaborations entre les membres du GR2M et des chercheurs d’autres groupes et centres de recherche.  Soulignons à titre d’exemple la collaboration entre les professeurs, Langlois et Bilodeau (EPM) en vision artificielle, Savaria, Martel et Bois (vérification et méthodes de conception); Savaria et Cherkaoui de l’UQAM (configuration et vérification de routeurs réseau), Savaria, Gagnon et Thibeault (architecture de systèmes de communication sans fil), Savaria, Bois et Langlois en conception de processeurs spécialisés pour le traitement d’images et de vidéos, Sawan et Savaria, (mise en œuvre d’une chaine de conversion d’énergie reçue par couplage inductif), Sawan. Savaria, Zhu, Kashyap, Laurin (Polytechnique), Thibault (ETS) et Liu (Univ. McGill), Capteurs de position, interfaces et réseaux AFDX pour applications avionics; le professeur Sawan collabore avec le Dr M. Elhilali de l’Université McGill (implant urinaire), le Dr F. Bellemare de l’Université de Montréal (cathéter oephagien), le Dr D. Guitton et Dr. A. Chaudhuri de l’Université McGill (implant visuel cortical et les Drs. Chapman de Concordia et Leporé de l’Université de Montréal (surveillance intra corticale). Enfin, notons que les professeurs Kashyap, Martel, Meunier, Savaria et Sawan sont titulaires de Chaires de recherche du Canada.


OBJECTIFS DU GR2M

 

Tel que défini par ses statuts, le Groupe de Recherche en Microélectronique et Microsystèmes (GR2M) a pour objectif général de «promouvoir et regrouper les activités de recherche en Microélectronique à l’École Polytechnique de Montréal».

Plus spécifiquement, le GR2M poursuit les objectifs suivants:

 

·         Regrouper dans une entité visible les chercheurs qui œuvrent dans des secteurs reliés à la microélectronique et les microsystèmes;

·         Offrir aux chercheurs en Microélectronique un lieu de communication et d’échange en vue de promouvoir et de faciliter la collaboration et le travail en équipe;

·         Assurer le bon fonctionnement des laboratoires et l’infrastructure du GR2M;

·         Faciliter l’accès aux technologies de microélectronique aux autres chercheurs de l’École et de l’extérieur de l’École susceptibles d’en profiter.

 

Ces objectifs n’ont pas été modifiés depuis la constitution officielle du groupe.

 

COMPOSITION DU GROUPE

 

Le Groupe de Recherche en Microélectronique et Microsystèmes relève du département de génie électrique et se compose des membres réguliers, membres associés et d’autres professionnels et chercheurs :

 

Liste des membres réguliers

 

·         Dr. Guy Bois: professeur titulaire au département de génie informatique et directeur du Groupe de Recherche en Microélectronique et Microsystèmes.  Il s’intéresse à la conception des systèmes embarqués, plus particulièrement à leurs spécifications, modélisation, partitionnement logiciel/matériel, synthèse, vérification fonctionnelle et prototypage.

·         Dr. Jean-Pierre David: professeur adjoint au département de génie électrique et codirecteur du Groupe de Recherche en Microélectronique et Microsystèmes.  Il s’intéresse à la conception rapide et fiable de systèmes numériques à partir d’une description de haut niveau, en particulier pour les systèmes reconfigurables (FPGA).

·         Dr. Yves Audet: professeur agrégé au département de génie électrique, ses travaux de recherche portent sur les circuits intégrés analogiques, les capteurs d’images CMOS, l’imagerie spectrale et les interconnexions photoniques pour système VLSI.

·         Dr. François Raymond Boyer: professeur adjoint au département de génie informatique qui s’intéresse aux architectures et méthodes de conception des circuits VLSI.  Il s’intéresse notamment à l’optimisation des systèmes exploitant des horloges multi phase.

·         Dr. Jean-Jules Brault: professeur agrégé au département de génie électrique et directeur du Laboratoire de Réseaux Neuronaux (LRN), qui s’intéresse aux diverses architectures et applications des machines neuronales, virtuelles ou électroniques, de même qu’au développement de leurs algorithmes d’apprentissage.

·         Dr. Raman Kashyap: Chaire de recherche du Canada en photoniques avancées, professeur titulaire aux départements de génie électrique et de génie physique.  Il s’intéresse aux nouveaux concepts en photonique pour les applications en radio sur fibre, technologies et composants à bandes interdites, biocapteurs, communications optiques, réseaux de Bragg en fibre optique à base de verre et polymères, nouveaux procédés pour fabriquer des guides d’ondes et leur intégration avec les circuits électroniques, les instruments de musique en photoniques, léser semi-conducteur et fibrée, les effets non linéaire optiques et refroidissement avec les laser.  Il est membre fondateur du groupe Polyphotonique et le directeur du laboratoire de concepts photoniques avancés (APCL), directeur du laboratoire de écriture avec les lasers, FABULAS, représentative des chercheurs au bord de ICIP, membre de COPL, et de CREER.

·         Dr. Pierre Langlois: professeur agrégé au département de génie informatique, s’intéresse à la conception et à la réalisation de processeurs configurables pour le traitement d’images et de vidéo, à la vision artificielle et à l’architecture des ordinateurs.

·         Dr. Sylvain Martel: professeur agrégé au département de génie informatique et titulaire d’une chaire de recherche du Canada dont le domaine de recherche est principalement la conception de micro et nano systèmes électromécaniques, incluant la nano robotique pour les applications au niveau moléculaire et atomique en touchant plusieurs aspects comme l’instrumentation, l’électronique, les ordinateurs ainsi que les systèmes reconfigurables.  En nano robotique, nous exploitons les découvertes fondamentales en nano sciences par la conception de nano robots capable de travailler au niveau du nanomètre pour créer de nouveaux systèmes, produits et applications.

·         Dr. Gabriela Nicolescu: professeure adjoint au département de génie informatique qui s’intéresse à la conception de haut niveau des systèmes embarqués hétérogènes composés de sous systèmes spécifiques aux différents domaines d’application : logiciel, matériel, mécanique, optique et RF.  Elle travaille aussi sur la conception des systèmes sur puce multiprocesseurs.

·         Dr. Yvon Savaria: professeur titulaire et directeur de département de génie électrique, titulaire d’une chaire de recherche du Canada en Conception de systèmes microélectroniques intégrés, directeur du Groupe de Recherche en Microélectronique et Microsystèmes, responsable administratif du laboratoire de VLSI.  Il s’intéresse à la méthodologie du design des systèmes intégrés, aux problèmes de tolérance aux pannes et de testabilité, à la conception et la vérification des systèmes sur puce (SOC), à la conception des circuits numériques, analogiques et mixtes et aux applications de ces technologies.

·         Dr. Mohamad Sawan: professeur titulaire au département de génie électrique et détenteur d’une chaire de recherche du Canada sur les dispositifs médicaux intelligents et directeur du regroupement stratégique en microsystèmes du Québec, qui s’intéresse à la conception et la réalisation de circuits mixtes (numériques, analogiques, optiques et RF) et à leurs applications dans les domaines industriel (communication sans fil) et biomédical (stimulateurs et capteurs sensoriels).

 

 Liste des membres associés

 

·         Dr. David Haccoun : professeur titulaire au département de génie électrique qui dirige des projets de recherche sur la méthodologie de conception de codeurs-décodeurs complexes, y compris l’impact de l’intégration en VLSI.  Il collabore avec MM Savaria et Sawan sur l’implantation de codeurs-décodeurs.

·         Dr. Abdelhakim Khouas: professeur adjoint au département de génie électrique dont les domaines de recherche portent sur le test et la conception en vue du test (chemin de SCAN, BIST, JTAG) des circuits intégrés numériques, analogiques et mixtes, le développement d’outils de CAO pour la microélectronique, le prototypage de systèmes numériques et la synthèse sur FPGA.

·         Dr. Romain Maciejko : professeur titulaire au département de génie physique, dont le domaine de recherche porte sur l’étude et la réalisation de dispositifs optoélectroniques intégrés.

·         Dr. Michel Meunier : professeur titulaire au département de génie physique et titulaire d’une chaire de recherche du Canada en micro-ingénierie et nano-ingénierie des matériaux par laser.  Il effectue des projets de recherche sur les procédés pour la microélectronique, plus spécifiquement sur l’utilisation de lasers dans la fabrication de couches minces et la modification de matériaux.  Il collabore avec Yvon Savaria sur la restructuration et la calibration par laser pour la microélectronique et avec Mohamad Sawan sur les microélectrodes.

 

Liste des chercheurs post doctoraux et autres professionnels

 

·         M. Normand Bélanger          associé de recherche

·         M. Robert Chebli                   associé de recherche

·         M. Ebrahim Ghafar-Zadeh associé de recherche

·         Mme Luiza Gheorghe           postdoc

·         M. Mohamed Hammadi     postdoc

·         M. Saied Hashemi                 associé de recherche

·         M. Sé

·         M. Éric Legua                         associé de recherche

·         M. Mohamed Hammadi     postdoc

·         M. Hicham Semmaoui         postdoc

 

De plus, les personnes suivantes collaborent aux travaux du groupe à divers titres :

 

·         M. Réjean Lepage                       Analyste GR2M

·         M. Laurent Mouden                   Technicien du laboratoire GR2M

·         M. Jean Bouchard                       Technicien informatique GR2M

 

Ces personnes forment le Groupe de Recherche en Microélectronique et Microsystèmes de l'École Polytechnique, dont la reconnaissance officielle par l’École démontre la priorité que celle-ci accorde au domaine de la microélectronique.

 

PROGRAMME DE RECHERCHE EN MICROÉLECTRONIQUE

 

Domaines

 

Les programmes de recherche et de formation de chercheurs en microélectronique de l’École Polytechnique recouvrent les sous secteurs suivants ;

 

·         La technologie microélectronique en elle-même, y compris les problèmes de test et de tolérance aux pannes et aux défectuosités ;

·         Les applications en télécommunications, en traitement des signaux et des images, en algorithmes et architectures parallèles, et en biomédical par la réalisation de capteurs et micro stimulateurs implantables ;

  • Les logiciels de synthèse, de conception et de test assistés par ordinateur ;

Ø  Les dispositifs électroniques et électro-optiques, ainsi que les technologies de fabrication.

 

Activités des membres réguliers

 

La description détaillée de notre programme de recherche débute sur une synthèse des activités de chaque membre au sein du GR2M.


 

ACTIVITÉS DU PROFESSEUR BOIS

 

Le professeur Bois poursuit des recherches dans le domaine de la Microélectronique, principalement dans le domaine du co-design et de la co-synthèse conjointe logiciel/matériel pour systèmes embarqués.

 

De nos jours, les systèmes embarqués sont de plus en plus présents dans les produits industriels et commerciaux : contrôleur d’injection d’une voiture, robot industriel, téléphone cellulaire, etc.  Afin de concevoir ces systèmes de plus en plus complexes, l’ingénieur doit avoir recours à l’utilisation conjointe de processeurs d’usage général, dont les performances atteignent aujourd’hui des niveaux très élevés, et de circuits spécialisés chargés de la réalisation de fonctions spécifiques.  De plus, la concurrence sur les produits et les services, impose à tous, la sévère loi du time to market, qui impose de réduire fortement le temps alloué au développement.  La situation de ces défis impose donc une approche d’ingénierie simultanée du logiciel et du matériel, nommé co-design.

 

Le professeur Bois travaille au développement de méthodes modernes de conception conjointe logiciel/matériel.  Plus particulièrement, ses travaux se concentrent autour de deux projets :

 

1.       Space Codesign

La technologie Space CodesignTM et sa plate-forme de conception Space Studio consistent en un logiciel facilitant la conception de systèmes électroniques embarqués.  Par simulation, il est possible de modéliser le comportement d’une application que l’on veut implanter (par exemple un téléphone cellulaire contiendra des algorithmes spécialisés ou d’encodage de la voix).  De plus, le fait que le tout soit en simulation permet d’explorer aisément différentes architectures pour ainsi trouver un compromis du système le plus performant, au coût le plus bas.  Cette caractéristique est apportée par 2 technologies :

 

Ø  Elix permettant l’exploration et la simulation rapide de différentes configurations d’un même système électronique embarqué et ;

Ø  Simtek permettant de simuler, avec une grande précision, une configuration particulière choisie avec Elix ou construite de toute pièce, et tout cela avant même de créer physiquement le circuit.  De plus, un outil complémentaire permet de collecter des statistiques sur les performances et comportements du système en simulation.

 

En plus d’offrir des possibilités d’exploration de différentes architectures grâce à la simulation, notre technologie propose un flot de conception qui permet à un utilisateur de partir de la simulation pour arriver à l’implantation finale (FPGA ou ASIC).  Cette caractéristique utilise la technologie GenX de Space Codesign.

 

 

2.       AREXIMAS

Ce projet se concentre sur les systèmes avioniques basés sur un réseau de processeurs.  Ces systèmes se doivent d’être sécuritaires, fiables et tolérant aux panes.  Plus précisément, nous nous intéressons aux compromis entre la reconfigurabilité, la fiabilité et le coût de ces systèmes.  Deux objectifs généraux sont poursuivis :

 

Ø   Le développement d’un environnement démonstrateur de plateforme IMA (Integrated Modular Avionics) à faibles coûts, comportant un simulateur ARINC 653, et

 

Ø   L’analyse et la caractérisation de l’application de vision EAVS (Enhanced Avionic Vision System) pour estimer ses ressources en prévision de son prototypage sur plateforme IMA.

 

Les partenaires industriels qui collaborent à ces projets sont CMC Electronics et CAE.  Au niveau universitaire les collaborateurs sont les professeurs Boland et Thibault (ETS), ainsi que Nicolescu et Beltrame de l’École Polytechnique de Montréal.

 


 

ACTIVITÉS DU PROFESSEUR DAVID

 

 

Le professeur David mène des activités de recherche dans le domaine de la synthèse des systèmes logiques matériel-logiciel, leurs constituants, leurs outils et leurs applications.  Il s’intéresse plus particulièrement aux outils de synthèse automatique à partir d’une description de haut niveau, aux treillis de calculs, à l’implantation d’opérateurs arithmétiques en virgule flottante et de manière générale à l’implantation optimale des tâches disposant d’un niveau de parallélisme élevé.  Au niveau applicatif, le professeur David travaille dans le domaine de la sécurité informatique (analyse profonde des paquets Ethernet pour le repérage de fichiers connus), aux applications de calcul matriciel pour la simulation de systèmes électriques et de manière générale à toutes les applications qui demandent une puissance de calcul supérieure à ce que peut offrir un processeur standard.

 

Un système reconfigurable est un circuit logique programmable dont le comportement sera déterminé au moment de sa programmation.  Aujourd’hui, ces circuits intègrent plusieurs noyaux de processeurs, des centaines de mémoires, des centaines de multiplieurs, des dizaines de milliers de fonctions logiques programmables, de multiples ressources dédiées et un immense réseau de connexions configurables permettant d’interconnecter ces ressources pour réaliser un circuit complexe et hautement parallèle.  Ils concurrencent de plus en plus les circuits dédiés de type ASIC car on peut les reprogrammer à volonté et leur densité atteint maintenant la dizaine de millions de portes logiques équivalentes.

 

Les circuits reconfigurables relèvent à la fois du Génie Électrique (GÉ) et du Génie Logiciel (GL).  Une fois le circuit physique réalisé (GÉ), il reste à le programmer (GL).  Toutefois, la programmation sert à implémenter un circuit avec des signaux logiques qui se propagent d’une manière semblable à ce qui se passe dans un circuit logique traditionnel (GÉ).  Enfin, ces circuits contiennent souvent un ou plusieurs processeurs devant être programmés (GL).  Les deux domaines sont donc très étroitement reliés et il devient nécessaire d’avoir une vision plus large qui réunit les deux disciplines.

 

Notre programme de recherche principal, subventionné par le CRSNG, consiste à développer un nouveau langage de description de matériel (HDL) d’un niveau d’abstraction intermédiaire entre les langages de programmation utilisés en GL et les langages de description de matériel utilisés en GÉ.  Nous visons à décrire des circuits au niveau fonctionnel (algorithmique) et développons un compilateur (CASM) capable de transformer cette description en un circuit de manière automatique et sure par construction.  En résumé, notre langage permet de décrire des réseaux de machines algorithmiques qui traitent et s’échangent des jetons de données en parallèle, un peu sur le modèle de CSP (Communicating Sequential Processes) et SDL (Specification and Description Language).  Une grande nouveauté par rapport aux ASM (Algorithmic State Machine) traditionnels consiste en la possibilité de faire des appels (et donc des retours) d’états d’une manière semblable à un appel de méthode en logiciel ou encore une continuation dans les langages fonctionnels.  Il devient alors possible de synthétiser des machines récursives, ce qui nous a permis, par exemple, d’implémenter une version de l’algorithme QuickSort (un algorithme de tri rapide hautement récursif) sur FPGA très facilement.  En outre, l’outil génère automatiquement tous les signaux de contrôle pour la synchronisation des envois-réceptions des jetons de données dans tout le réseau sans perdre de cycle d’horloge (possiblement sous la forme de pipeline continu).  Le concepteur peut donc se concentrer sur les aspects algorithmiques et déléguer la tâche de réalisation du circuit au compilateur.  Toutefois, l’utilisateur averti a conscience de l’architecture qui sera synthétisée et peut, dans la manière dont il décrit l’algorithme, influencer celle-ci.

 

 


 

ACTIVITÉS DU PROFESSEUR AUDET

 

Les activités du professeur Audet sont reliées aux capteurs photoniques, fabriqués en procédé CMOS, visant deux champs d’applications spécifiques soient : les capteurs d’images intégrés et les détecteurs photoniques de haute performance pour système VLSI à interconnections optiques.

 

 

1.       Les capteurs d’images CMOS

 

Ce programme de recherche adresse la problématique de conception et de fabrication de capteurs d’images CMOS de grande surface, qui permettrait d’obtenir une caméra numérique de résolution spatiale comparable à celle d’une caméra avec pellicules chimiques photosensibles.  On vise un capteur ayant une matrice de pixel de 36 x 24 mm de surface pour atteindre la compatibilité avec la gamme des lentilles développées pour la photographie SLR 35 mm.

 

Outre la réalisation d’un capteur d’images de grande surface, les techniques de conception de pixels redondants avec autocorrection développées sont aussi utiles à la réalisation de capteurs d’images employés dans des environnements hostiles comme l’espace, les mines, les réacteurs nucléaires, etc., là où une caméra peut-être exposée à des radiations, des températures et des pressions extrêmes pouvant endommager le capteur.  Ainsi, les propriétés d’autocorrection de l’architecture redondante permettront à la caméra de transmettre des images plus longtemps dans ces milieux hostiles où le remplacement et la réparation sont difficiles, voire impossibles.

 

 

2.       Les détecteurs photoniques

 

Ici on s’intéresse au développement de technique de propagation de signaux par modulation photonique, tant sur un même circuit intégré qu’entre puces d’un même système, de façon à éliminer les interconnections métalliques critiques qui limitent la performance des systèmes.  Des taux de propagation supérieurs à 1 Gb/s sont visés.

 

Bien que la recherche sur les interconnections photoniques ait favorisé jusqu’à maintenant les dispositifs III-V pour la conversion de signaux électriques à signaux photoniques, la diminution constante de la taille des structures fabriquées sur technologie CMOS pourrait avantager les dispositifs photoniques au silicium notamment au niveau des photo-détecteurs.  Avec la diminution de la taille des structures, les capacités parasites des composants actifs diminuent également de sorte qu’un faisceau lumineux de moindre énergie est requis pour activer une cellule photo-détectrice au silicium et une réponse plus rapide peut être obtenue.  Les avantages d’un photo détecteur au silicium pouvant être intégré à même une puce VLSI sont considérables, même si les performances sont moindres qu’un photo-détecteur en technologie III-V.  Citons entre autre la simplicité du procédé de fabrication CMOS comparé aux technologies hybrides III-V – CMOS et l’élimination des circuits liés à l’intégrité des signaux d’horloge en amplitude et en phase, tels que les répétiteurs et les circuits de verrouillage de phase (PLL).  À l’heure actuelle, dû aux problèmes de délais associés aux interconnections métalliques, il est de plus en plus difficile d’assurer la synchronisation entre les différents modules d’un système VLSI, de sorte que les techniques de propagation de signaux asynchrones sont maintenant envisagées pour relier des modules sur une même puce, ajoutant à la complexité du système.  Les interconnections photoniques assureront la performance des systèmes VLSI sans ajouter à leur complexité.

 


 

ACTIVITÉS DU PROFESSEUR BOYER

 

Le professeur Boyer conduit des recherches incluant les domaines de la microélectronique, et du traitement de signal.  Plus spécifiquement, il s’intéresse au design, à la synthèse et à l’optimisation des systèmes conjoints logiciel/matériel dédiés, ainsi qu’au développement d’architectures prenant partie d’un nouveau type d’horloge, dans le but d’obtenir une bonne performance à faible consommation d’énergie.

 

L’horloge à période variable cycle par cycle est encore un concept relativement nouveau.  L’idée est de permettre de moduler la longueur des cycles d’horloges pour pouvoir suivre précisément un ordonnancement.  Cet ordonnancement peut être fait à l'avance, mais aussi à l’exécution, pour pouvoir traiter de manière optimale les expressions conditionnelles et pour pouvoir tenir compte d’autres facteurs qui ne sont pas connus lors de la compilation (ou synthèse).  Dans le cas de systèmes très dynamiques, devant réagir à des stimuli externes, l’ordonnancement peut s’ajuster pour rencontrer les latences maximales permises tout en minimisant la consommation d’énergie.  À l’exception des circuits asynchrones, les circuits ont actuellement très majoritairement une horloge fixe, ou variant lentement dans le temps, qui limite la possibilité d’ordonnancement.  Pour obtenir le meilleur ordonnancement possible, il faut relâcher les contraintes de l’horloge et ce nouveau type d’horloge permet beaucoup plus de flexibilité.

 

Ses publications récentes sur ce sujet concernent principalement la réduction de la gigue de l’horloge ainsi que l’utilisation de cellules numériques normalisées pour réduire les temps de conception et simplifier la mise à l’échelle.

 

La conception de systèmes dédiés demande à la fois de déterminer la structure matérielle et le logiciel devant s’exécuter sur ce matériel.  Une approche conjointe logicielle/matérielle est nécessaire pour la conception et l’optimisation d’un tel système.  Pour des systèmes dédiés, les outils doivent permettre la spécialisation (paramétrisation) des composantes.  Puis la partie logicielle doit être compilée pour une architecture parallèle possiblement hétérogène (avec des processeurs de plusieurs types différents) et comportant des instructions spéciales.  Ses recherches se situent sur différents plans, dont l’automatisation de la séparation logiciel/matériel, la compilation parallélisante pour un système hétérogène configurable, et une diminution du temps associé à l’assemblage et test du système, pour un temps de mise en marché minimum.  Une application actuellement visée est les réseaux sans fil sur le corps pour le traitement de données médicales.

 

 

Applications :

 

Traitement de signal et isolation de la voix dans des prothèses auditives numériques :

 

Le domaine de la prothèse auditive numérique est en expansion, dû au fait que la miniaturisation des processeurs le permet, mais aussi au fait que la demande en prothèses auditives augmente (la population vieillit) et que les gens recherchent une qualité supérieure.  L’utilisation de plusieurs microphones est actuellement une des méthodes qui a le plus de succès pour augmenter la discrimination des sons et améliore l’intelligibilité.  Par contre, le traitement fait sur ces sources pourrait être amélioré, tout en gardant une petite taille et une faible consommation d’énergie.

 

Capture de mouvements du corps humain :

 

Des capteurs inertiels sont utilisés pour analyser les mouvements 3D du corps humain.  Cette analyse de mouvement peut s’appliquer au domaine médical pour, par exemple, détecter des anomalies, ou sportif, pour améliorer le mouvement, mais aussi à l’enseignement et à l’art.  Un logiciel d’enseignement de direction d’orchestre est en développement avec cette analyse de mouvements.

 

Les principaux partenaires qui collaborent sur ces recherches sont le professeur Y. Savaria (génie électrique, École Polytechnique), sur le côté matériel, le professeur H.T. Bui (Sciences appliquées, Université du Québec à Chicoutimi), sur les convertisseurs en cellules normalisées, et le professeur P. Bellomia (faculté de musique, Université de Montréal), sur la capture de mouvement.


 

ACTIVITÉS DU PROFESSEUR BRAULT

 

 

 

Le professeur Brault dirige le LRN (Laboratoire de Réseaux Neuronaux.)  Ses recherches visent plus spécifiquement l’application les algorithmes d’apprentissage (AA) à des problèmes d’inférence sur des données expérimentales en utilisant des machines neuronales (MN), virtuelles ou électroniques.  Le champ d’application des AA/MN est très vaste puisque les MN sont des approximateurs universels utilisés tant en classification, en régression qu’en estimation de fonction de densité.  D’autre part, vu l’homogénéité des traitements réalisés par les MN, ils peuvent souvent être intégrés relativement aisément sur des circuits électroniques.

 

 

 

Les principales difficultés que l’on rencontre dans le design de ces machines proviennent du fait qu’elles sont habituellement adaptées itérativement et que l’information est massivement distribuée dans les interconnexions de la MN.  Parmi ces difficultés, notons, le choix du type de neurones à utiliser (déterministes ou stochastiques, modèle de McCulloch-Pitts ou Hodgkin-Huxley), le nombre de neurones (capacité à s’adapter au problème) le type d’interconnexions (avec ou sans récurrence), le paradigme/loi d’apprentissage (supervisé ou non, correction d’erreurs, minimisation d’entropie, etc.), la fonction de cout à minimiser, etc.  Tous ces «hyperparamètres» doivent évidemment conduire à la conception d’une machine capable de bien généraliser (interpoler ou extrapoler) sur de nouvelles données.

 

 

 

Outre les architectures bien connues de type MLP (ou RBF) optimisées pour diverses applications (antennes, parole, robotique), les MN qui retiennent particulièrement notre attention sont les machines stochastiques causales (réseaux bayesiens) et les machines à états liquides (MEL) (également appelées «réseaux à échos»).  Pour le premier cas, ce type de système comporte habituellement un très grand nombre de variables stochastiques et les techniques d’optimisation comme le recuit simulé, sont souvent jugées inutilisables à cause des temps de calcul ou de la mémoire requise pour leur mise en œuvre.  En effet, pour valider un réseau bayesien, on doit générer un très grand nombre de cas (vecteurs de tests) en fonction d’une distribution de probabilité multi-variables.  On se frappe alors au problème de la «malédiction de la dimensionnalité».  Une modification possible est l’ajout d’aspects déterministes dans le processus d’optimisation conduisant par exemple au recuit déterministe RD (Deterministic Annealing).  Dans le second cas, (MEL), le problème est de concevoir une machine à rétroaction massive qui se comporte de façon quasi chaotique afin d’explorer un espace d’états continus (ou liquides).

 

 

 

Concernant les aspects électroniques de ces projets, nous étudions la conception de circuits échantillonneurs en fonction d’une distribution de probabilité d’un espace approximé par un réseau bayesien.  Nous modifions les circuits logiques traditionnels afin de les rendre probabilistes.  D’autre part, des circuits appelés «neurones à pulses» ont été simulés sur SPICE pour équiper des robots suiveurs.

 


 

ACTIVITÉS DU PROFESSEUR LANGLOIS

 

 

Le professeur Langlois s’intéresse à la conception et à la réalisation de processeurs configurables pour le traitement d’images et de vidéo, à la vision artificielle et à l’architecture des ordinateurs. Le professeur Langlois était en période de ressourcement de janvier à décembre 2012.  Il a effectué deux stages industriels de six mois chez Logi-D et CMC Électronique.

 

Des projets sont en cours dans deux domaines principaux:

 

Conception de processeurs spécialisés et configurables pour le traitement vidéo.

 

Ce projet est mené conjointement avec les professeurs Savaria et Bois du GR2M.

 

Les processeurs configurables offrent d’intéressantes solutions en informatique embarquée pour l’implémentation d’algorithmes de traitement d’image et de traitement du signal en temps réel.  Les besoins en calculs, les contraintes de synchronisation, la réduction des couts et les limites en consommation de puissance pour ces applications écartent habituellement les solutions purement logicielles implémentées sur un processeur à usage général.  Les processeurs configurables ont l’intérêt de pouvoir être programmés à l’aide de langages de haut niveau familiers pour la plupart des concepteurs.  Les processeurs configurables commerciaux sont paramétrables et extensibles.  Des caractéristiques spécifiques comme un multiplicateur peuvent être activées ou non.  Des structures peuvent être ajoutées au processeur, comme des instructions additionnelles, des blocs de registres élargis et des interfaces mémoires particulières.

 

Les objectifs de ce projet incluent le développement de méthodologies de conception pour des processeurs spécialisés (Application Spécifique Instruction set Processor - ASIP), principalement pour des applications de traitement vidéo en temps réel.

 

Vision artificielle

 

Ce domaine de recherche est poursuivi en collaboration avec le professeur Bilodeau du département de génie informatique et génie logiciel.

 

D’une part, nous avons travaillé à proposer une solution automatique qui se repose sur la vision informatique pour suivre et annoter trois comportements de rongeurs dans un environnement biomédical typique : statique, élevé et en train d’explorer.

 

D’autre part, nous avons collaboré avec Logi-D, un fournisseur desolution de gestion de matériel dans des hôpitaux et des cliniques médicales. Le projet vise à faire le suivi de l’inventaire médical utilisé par le personnel infirmier. À l’aide d’une caméra placée au plafond, le système tente de reconnaître les compartiments de différents tiroirs et d’en évaluer leurs contenus.


 

ACTIVITÉS DU PROFESSEUR MARTEL

 

 

 

Les activités du professeur Martel se situent principalement dans la recherche et le développement de systèmes miniatures intelligents et plus particulièrement dans le domaine de la nanorobotique.  L’objectif actuel consiste à développer des nanorobots avec une infrastructure conçue pour supporter une flotte d’une centaine de ces nanorobots capables d’opérer très rapidement et de façon autonome au niveau moléculaire et jusqu’au niveau des atomes.

 

 

Pour ce genre de projets, nous devons développer plusieurs systèmes électroniques et microélectroniques spécialisés pour supporter, contrôler et implanter plusieurs tâches complexes incluant par exemple :

 

·         Système en temps réel et de très haute performance de positionnement, de navigation et communication à infrarouge pour plates-formes nanorobotique ;

 

·         Système de positionnement miniature de résolution atomique basé sur les techniques de microscopie à effet tunnel ;

 

·         Systèmes et instruments miniatures de manipulation, mesure, synthèse et fabrication au niveau moléculaire ;

 

·         Système de contrôle embarqué pour déplacement de nanorobots, etc.

 

 

Notre intérêt est donc le développement de divers circuits miniatures (analogue et numérique) de haute performance en utilisant diverses approches, techniques, outils de conception et systèmes de vérification/validation essentiellement au niveau système sur puces (SoC).

 

 

La miniaturisation, précision, vitesse et le rendement en temps réel sont des aspects très importants et critiques dans la plupart des systèmes électroniques développés pour ce genre de projet.  Les systèmes à concevoir sont aussi généralement très complexes et exigeants et font appel à plusieurs technologies qui doivent être intégrées dans des systèmes micro-mécatroniques avec instruments intégrés de très haute précision et opérant à de très grandes vitesses.


 

ACTIVITÉS DU PROFESSEUR NICOLESCU

 

Gabriela Nicolescu conduit des recherches sur la conception des systèmes embarqués.  Deux types de systèmes sont visés par ses recherches : la dernière génération des systèmes embarqués intégrant des sous-systèmes hétérogènes provenant de différents domaines d’application (ex. : électronique, optique, mécanique, RF) et les systèmes-sur-puce intégrant plusieurs processeurs hétérogènes (ex. : processeurs configurables, processeurs spécialisés pour un type d’application, processeurs d’usage général).  Les thèmes de recherche seront élaborés brièvement par la suite.

 

 

Conception des systèmes embarqués hétérogènes

 

Nos travaux sur la conception des systèmes embarqués hétérogènes portent sur les nouvelles techniques pour la modélisation et la validation globale de ces systèmes.  Nous travaillons sur la définition et la mise en place d’un environnement permettant la coopération des concepteurs provenant des domaines d’application différents, avec de différentes cultures et utilisant différents niveaux d’abstraction (ex. : RTL, niveau transactionnel), langages de spécification (ex. : VHDL, SytemC, Matlab) et modèles d’exécution (simulation native, simulation à base d’ISS).  Nos travaux explorent particulièrement la modélisation et la simulation des interactions entre les composantes fournies par divers concepteurs et nous explorons les techniques de génération automatique des interfaces d’adaptation entre ces composantes.

 

Nous utilisons comme applications concrètes les MEMS (micro electro-mechanical systems), MOEMS (micro opto-electro-mechanical systems) et les réseaux optiques sur puce.

 

 

Conception des systèmes sur-puce multiprocesseur

 

Nos travaux sur la conception des systèmes-sur-puce multiprocesseurs portent sur l’exploration architecturale et la validation par simulation de ces systèmes.

 

Concernant l’exploration architecturale nous étudions des nouvelles architectures mémoires et les algorithmes efficaces pour mapper les différentes applications sur ces architectures.  Nous explorons aussi l’impact de l’implémentation des systèmes d’exploitation sur l’efficacité des systèmes multiprocesseurs sur puce.  Les approches prises en compte sont : les systèmes d’exploitation implémentés en logiciel, les systèmes d’exploitation implémentés en matériel, et les systèmes d’exploitation logiciels/matériels.  Nous explorons aussi l’impact de l’intégration sur même puce des processeurs différents et des systèmes d’exploitation implémentés par les différentes techniques présentés plus haut.

 

Concernant la validation des systèmes, multiprocesseurs, nous explorons de nouvelles modèles de simulation permettant une validation rapide et précise de ces systèmes.  Nous étudions les modèles de simulation de haut niveau pour les parties logiciels dépendants du matériel (hardware dependent software) pour la simulation native du logiciel embarqué.

 

Nous évaluons nos approches à l’aide des applications multimédia (ex. : MPEG4, DivX).


 

ACTIVITÉS DU PROFESSEUR SAVARIA

 

Il conduit des recherches selon deux grands axes : l’élaboration de méthodes de conception et l’utilisation des technologies microélectroniques dans des applications spécifiques.  Le premier axe englobe des travaux sur la conception de chaines d’alimentation pour les microsystèmes embarqués et les méthodes de conception et de synchronisation des systèmes intégrés.  Il englobe aussi des techniques d’autotest et de tolérance aux pannes et aux défectuosités.  Le second axe couvre des thèmes divers liés aux applications des microsystèmes intégrés comme la conception de systèmes de radio configurable, la conception de décodeurs convolutionnels, la conception d’une plate-forme SOC pour la réalisation de processeurs réseau et de systèmes de traitement vidéo ainsi que sur la conception d’un système de prototypage rapide.  Plusieurs de ces travaux sont réalisés en collaboration avec d’autres chercheurs dont plusieurs sont membres du ReSMiQ.  La suite reprend chacun de ces thèmes en élaborant brièvement.

 

Méthodes de conception

Nos travaux sur les méthodes de conception explorent diverses classes de circuits nécessaires pour la mise en œuvre de chaines d’alimentation  de microsystèmes intégrés comme des redresseurs à faible chute de tension et des convertisseurs DC-DC  à commande asynchrone. Nous explorons aussi des méthodes de synchronisation efficaces pour les systèmes intégrés. Une de ces méthodes permet de tolérer des biais de synchronisation arbitrairement grand dans des systèmes purement synchrones. D’autres méthodes investiguées conduisent à la conception systématique de systèmes intégrés globalement asynchrones mais localement synchrones.

 

Nous explorons les méthodes efficaces pour la conception d’architectures intégrées. Ces architectures doivent souvent être adaptées à la classe d’application ciblée. Cela conduit parfois à des plateformes composées de modules paramétrables, réutilisables et compatibles entre eux qui forment la base d’une architecture flexible pour la classe d’application ciblée.  Nos recherches portent aussi sur plusieurs techniques pour la conception de processeurs configurables visant l’accélération des calculs.  Ces techniques permettent notamment de réduire considérablement l’énergie requise pour effectuer un traitement.

 

Enfin, en rapport avec les techniques de tolérance aux pannes, nous les explorons dans le cadre d’un projet qui vise à gérer l’effet des radiations sur l’électronique ainsi que dans le cadre d’un projet qui vise la réalisation par circuit intégré à l’échelle de la tranche (WSI) d’une technologie de prototypage rapide pour les systèmes électroniques complexes.

 

Applications

Dans le cadre de cet axe, nous explorons un ensemble d’applications.  Plusieurs de ces applications permettent d’explorer les méthodes de dimensionnement automatique des chemins de données.  Nous explorons les architectures possibles pour la mise en œuvre des systèmes de traitement vidéo. 

 

Nous travaillons aussi à la mise en œuvre de diverses classes de modules nécessaires pour la mise en œuvre de radios configurables, de processeurs réseau et de systèmes de traitement vidéo.

 

Un de nos projets important porte sur la conception d’un réseau de communication fiable pour la transmission des données critiques pour le domaine de l’aéronautique parrainé par Thales et Bombardier. Un autre projet parrainé par Ericsson porte sur la conception d’équipement réseau virtualisés.

 

Enfin, en collaboration avec Technocap, UQAM, UQO et plusieurs autres participants, nous élaborons une technologie d’intégration configurable pour les systèmes électroniques dans le cadre du projet DreamWafer.

 


 

ACTIVITÉS DU PROFESSEUR SAWAN

 

Le professeur Sawan dirige une équipe de recherche ayant des activités qui se diversifient selon les grandes priorités suivantes : la conception, la réalisation et le test des circuits intégrés analogiques, mixtes et à fréquences radio ; la conception des systèmes pour l'acquisition et le traitement de signal et d'image, l’assemblage et l’encapsulation de dispositifs électroniques ; le prototypage rapide se servant de circuits et systèmes reconfigurables. L'ensemble de ces priorités s'articule autour de deux objectifs essentiels soient la réalisation de modules et de systèmes complets dédiés à des applications industrielles variées tel que les télécommunications, et la mise en œuvre de dispositifs médicaux servant à la récupération des organes et/ou des fonctions chez les patients ayant perdu l'usage (ou n'ayant pas) de ces fonctions, plus particulièrement des micro stimulateurs et capteurs sensoriels implantables et non-implantables et des systèmes optiques et ultrasoniques portables.

 

En particulier, le professeur Sawan s’intéresse aux convertisseurs analogique à numérique (CAN): rapide, à haute précision et à très basses alimentation et consommation, aux filtres reconfigurables et à bande passante élevée, aux préamplificateurs à très faible niveau de bruit et à large bande passante et programmables, aux régulateurs de tension, aux PLL et FLL (Phase et Frequency Looked Loop).  Aussi, des nombreux autres circuits intégrés mixtes font l'objet de nos travaux de recherche dans le cadre des applications médicales : capteurs et micro stimulateurs, conversion optique – électrique, ultrasons, microélectrodes, techniques de mesures intégrés, etc.  Ajoutons que nous menons des travaux dans le domaine de communications sans fil, plus spécifiquement nous travaillons à la mise au point de systèmes complets, soient des mélangeurs, des MODEM, des amplificateurs de puissance, des liens électromagnétiques efficaces, etc.

 

Les systèmes dédiés à des applications médicales doivent être performants (dimensions réduites et à très basse consommation d'énergie), fiables et flexibles. Ces applications pluridisciplinaires regroupent des activités des différentes disciplines connexes en sciences et génie. Ceci implique des connaissances en physique, mécanique, chimie, biologie, biomatériaux, etc. Nous nous intéressons à mettre en œuvre un bon nombre de ces systèmes soient : un stimulateur implantable urinaire servant à contrôler les deux fonctions de la vessie (rétention et incontinence); un implant visuel dédié à la création d'une vision acceptable chez les non-voyants, un dispositif capteur de signaux neuronaux dans le but de mesurer le volume d'urine dans la vessie et de commander le mouvement des membres artificiels remplaçant des membres amputés. Nous recherchons une solution aux à l’apnée du sommeil chez les nourrissants et chez les adultes, etc. À titre d’exemple, nous proposons un cathéter ayant une paire d'électrodes et une paire de capteurs piézo-électriques pour évaluer les pressions et l'EMG aux niveaux de l'estomac et des poumons.  Nous poursuivons nos travaux sur les techniques de télémétrie pour la mesure de divers paramètres biologiques. Nous nous servons des techniques optiques dans le domaine de l’imagerie clinique basée sur la spectrométrie proche infra-rouge. Aussi, nous nous intéressons à la réalisation des systèmes ultrasoniques portables. De plus, nous ferons des travaux dans le domaine de laboratoire sur puce pour mettre au point des outils de diagnostique cellulaire.

 

Titulaire d’une Chaire de recherche du Canada sur les dispositifs médicaux intelligents, professeur Sawan est membre de plusieurs comités d’organisation et de programme de conférences nationales et internationales. Fondateur de la conférence internationale IEEE-NEWCAS, fondateur du Laboratoire de neurotechnologies Polystim et directeur du regroupement stratégique en microélectronique du Québec (ReSMiQ), éditeur et co-éditeurs de plusieurs revues internationales et membre de «Board of Governors» de la société circuits et systèmes de IEEE, élu «Distinguished Lecturer» de la société Solid-State Circuits de IEEE pour 2011-2012. Professeur Sawan est Fellow de l’académie Canadienne de génie, Fellow des instituts canadiens des ingénieurs, Fellow de IEEE et Officier de l’ordre nationale de Québec.

 

Pour plus de détails sur les différents travaux cités ci-dessus, le lecteur est invité à lire les descriptions des projets d'étudiants dans ce rapport et à consulter notre site web au http://www.polystim.ca


ÉTUDIANTS AUX CYCLES SUPÉRIEURS

 

Étudiants aux cycles supérieurs qui ont effectué des recherches associées au GR2M durant la période couverte par ce rapport:

 

Nom de l'étudiant

Diplôme en cours

Directeur

Codirecteur

Akbarniai Tehrani  Mona

Ph.D.

Y. Savaria

Jean-Jacques Laurin

Allard Bernier, Jessica

M.Sc.A.

G. Bois

 

Ameri, Marzieh

M.Sc.A.

M. Sawan

 

Ben Cheikh, Taieb Lamine

Ph.D.

G. Nicolescu

 

Bendali, Abdelhalim

Ph.D.

Y. Audet

C. Akyel

Benhammadi, Seddik

M.Sc.A.

Y. Audet

V. Diaconu

Blouin, Frédéric

M.Sc.A.

P. Langlois

G.A. Bilodeau

Charasse, Sylvain

M.Sc.A.

Y. Savaria

 

Chevalier, Axelle

M.Sc.A.

M. Sawan

Y, Kestens

Daigneault, Marc-André

Ph.D.

J.-P. David

 

Drolet, Jonathan

M.Sc.A.

M. Sawan

 

Farah, Rana

Ph.D.

P. Langlois

G.A. Bilodeau

Fomekong Dongmo, Armand

M.Sc.A.

J.-J. Brault

 

Fourmigue, Alain

Ph.D.

G. Nicolescu

 

Gan, Qifeng

Ph.D.

P. Langlois

Y. Savaria

Ghane-Motlagh, Bahareh

Ph.D

M. Sawan

 

Ghannoum, Anthony

M.Sc.A.

M. Sawan

 

Gholamzadeh, Bahared

M.Sc.A.

M. Sawan

 

Gil, Diana

M.Sc.A.

P. Langlois

Y. Savaria

Guérard, Hubert

M.Sc.A.

G. Bois

 

Guillemot, Mikael

M.Sc.A.

Y. Savaria

 

Hachani, Ahmed

M.Sc.A.

M. Sawan

 

Hached, Sami

Ph.D.

M. Sawan

 

Hamie, Ali 

M.Sc.A.

M. Sawan

 

Hasanuzzaman, Md

Ph.D.

M. Sawan

 

Hawi, Firas

M.Sc.A.

M. Sawan

 

Hussain, Wasim

Ph.D.

Y. Savaria

 

Kamrani, Ehsan

Ph.D.

M. Sawan

 

Karimian-Sichany, Masood

M.Sc.A.

M. Sawan

 

Kassab, Amal

M.Sc.A.

M. Sawan

 

Keita, Abdoul-Kader

M.Sc.A.

P. Langlois

 

Keklikian, Thalie Léna

M.Sc.A.

Y. Savaria

 

Koubaa, Zied

M.Sc.A.

M. Sawan

 

Kowarzyk Moreno, Gilbert

Ph.D.

Y. Savaria

D. Haccoun

Krouchev, Nedialko

Ph.D.

M. Sawan

A. Vinet

Laflamme-Mayer, Nicolas

Ph.D.

M. Sawan

Y. Blaquière

Larbanet, Adrien

M.Sc.A.

J.-P. David

 

Legault, Vincent

M.Sc.A.

G. Bois

 

Le Lan, Jérôme

M.Sc.A.

M. Sawan

 

Lepercq, Étienne

Ph.D.

Y. Savaria

 

Lerebours Jonas

M.Sc.A.

P. David

 

Li, Meng

Ph.D.

Y. Savaria

G. Zhu

Li, Nan

Ph.D..

M. Sawan

 

Massicotte, Geneviève

M.Sc.A.

M.Sawan

 

Mehri Dehnavi. Marzieh i

M.Sc.A.

Y. Audet

G. Gagnon

Mendez, Arnaldo

Ph.D.

M. Sawan

 

Miled, Mohamed Amine

Ph.D.

M. Sawan

 

Mirzaei, Marjan

M.Sc.A.

M. Sawan

 

Monteiro, Fellipe

M.Sc.A.

G. Bois

 

Moradi, Arash

Ph.D.

M. Sawan

 

Mounaim, Fayçal

Ph.D..

M. Sawan

 

Mouret, Geoffroy

M.Sc.A.

J.-J. Brault

 

Nabovati Khormazard, Ghazal

Ph.D.

M. Sawan

 

Najapour-Foroushani, Armin

M.Sc.A.

J.-J. Brault

 

Navabzadeh, Nazila

M.Sc.A.

J.-J. Brault

 

Ould Bachir, Tarek

Ph.D.

J.-P. David

J. Mahseredjian

Pons Jean-François

M.Sc.A.

J.-J, Brault

 

Robati Tiyam

Ph.D.

Y. Savaria

 

Rogers-Vallée, Michel

M.Sc.A.

G. Bois

 

Salam Tariqus, Mohammad

Ph.D.

M. Sawan

 

Savard, Julien

M.Sc.A.

G. Bois

 

Sharafi, Azadeh

Ph.D.

S. Martel

 

Siadjine Njinowa, Marcel

Ph.D.

H.T. Bui

F. R. Boyer

Simard, Guillaume

M.Sc.A.

M. Sawan

D. Massicotte

Stimpfling Thibault

M.Sc.A.

M. Savaria

 

Taboubi, Mohamed

M.Sc.A.

P. Langlois

C. Morency

Tremblay, José-Philippe

Ph.D..

Y. Savaria

 

Trentin Davide

M.Sc.A.

M. Savaria

 

Trigui, Aref

M.Sc.A.

M. Sawan

 

Vakili, Shervin

Ph.D

P. Langlois

G. Bois

Watson, Meghan Chelsea

Ph.D.

M.Sawan

E. Cook

Zgaren, Mohamed

Ph.D.

M. Sawan

 

Zhang, Kai

Ph.D.

Y. Audet

 

Zheng, Yushan

Ph.D.

M. Sawan

 

 

ÉTUDIANTS NOUVELLEMENT INSCRITS

 

Nom de l'étudiant

Diplôme en cours

Directeur

Codirecteur

Arfaoui, Nadia

M.Sc.A.

M. Sawan

 

Bany Hamad, Ghaith

Ph.D.

Y. Savaria

 

Berrima, Safa

M.Sc.A.

Y. Savaria

Y. Blaquière

Champagne, Pierre-Olivier

M.Sc.A.

M. Sawan

E. Ghafar-Zadeh

Dehbozorgi, Mahya

M.Sc.A.

M. Sawan

P. Pouliot

Ly, My Sandra

M.Sc.A.

M. Sawan

 

Rivard-Girard, Simon

M.Sc.A.

G. Bois

 

Wang, Yiqiu

M.Sc.A.

M. Sawan

 


TITRES DES PROJETS ET DIPLÔMES EN COURS DE CHAQUE ÉTUDIANT

Cette section contient une liste de projets avec le nom des personnes concernées.  Plus de détails sur chacun des projets se trouvent dans les descriptions individuelles des étudiants chercheurs.

 

Akbarniai Tehrani M.

Ph.D.

Conception de systèmes de calibration et traitement de signaux pour réseaux d’antennes radar météorologiques.

Allard Bernier, J.,

M.Sc.A.

Méthode de reconfiguration dynamique pour un réseau sur puce tolérant aux fautes.

Ameri, Marzieh

M.Sc.A.

Geiger mode front-end circuitry for an Avalanche Photodiode.

Ben Cheikh, T.L.

Ph.D.

Approche multiprocesseur pour l’optimisation des applications biomédicales.

Bendali, A.

Ph.D.

Conception et caractérisation de circuits intégrés CMOS de haute performance pour applications aux interconnexions photoniques.

Benhammadi, S.

M.Sc.A

Réalisation d’un capteur d’image combiné à la spectroscopie de réflexion pour l’analyse non invasive et in vivo du sang rétinal.

Blouin, F.

M.Sc.A.

Conception d’un système de vision par ordinateur pour la détection automatique d’inventaire médical.

Charasse, S.

M.S.A.

Test et débogage des circuits intégrés sur WaferBoardTM avec le standard IEEE 1500 embarqué sur FPGA.

Chevalier, A.,

M.Sc.A.

Contribution à la mise en œuvre d'une plate-forme multi-capteur pour le monitoring du positionnement géographique et des signaux physiologiques.

Daigneault, M.-A.

Ph.D.

Synthèse et description de circuits numériques au niveau des transferts synchronisés par les données.

Drolet, J.

M.Sc.A.

Circuits numériques intracorticaux pou la détection automatisée des potentiels d’action.

Farah, R.

Ph.D.

Des outils de vision informatique pour la surveillance des rongeurs.

Fomekong Dongmo. A.

M.Sc.A.

Apprentissage machine d’un réservoir adaptable de transformations dynamiques embarqué dans un animât primitif.

Fourmigue, A.

Ph.D.

Modélisation au niveau système des architectures tridimensionnelles (3D) de systèmes multiprocesseurs sur puce (MPSoC).

Gan, Q.

Ph.D.

Conception et implémentation efficace des filtres de particules sur le processeur à jeux d’instructions spécialisés.

Ghane-Motlagh, B.

Ph.D.

Conception et réalisation d’une matrice de microélectrodes à haute densité pour des applications d’interfaces cerveau-machine.

Ghannoum, A.

M.Sc.A.

Module de reconnaissance d’objets dédié à un stimulateur visuel cortical.

Gholam-Zadeh, B.

M.Sc.A.

Conception et fabrication d’un réseau de biocapteurs pour la mesure de la force cellulaire.

Gil, D.

M.Sc.A.

Processeurs embarqués pour reproduction de tons en temps réel.

Guérard, H.

M.Sc.A.

Intégration d’un modèle de réseau sur puce dans un flôt de conception de niveau système.

Guillemot, M.

M.Sc.A.

Conception d’un logiciel de contrôle pour le système de prototypage DreamWafer.

Hachani, A.

M.Sc.A.

Prototype d’un stimulateur multi canal flexible dédié aux applications interfaces cerveau-machines.

Hached, S.

Ph.D.

Sphincter artificiel commandé et alimenté en énergie sans fil.

Hamie, A, 

M.Sc.A

Prototype d’une micro pompe implantable dédiée à l’injection des médicaments épilepsie.

Hasanuzzaman, M.

Ph.D.

Un système implantable hautement flexible de faible puissance dédié à la microstimulation intracorticale visuelle.

Hawi, F.

M.Sc.A.

Conception et implémentation d’un système de stéréoscopie passive dédié au traitement d’image 3D.

Hussain, W.

M.Sc.A.

Fournir des liens bidirectionnels et une capacité de communication analogique en WaferBoard™.

Kamrani, E.

Ph.D.

Concevoir un fonctionnement intégré Near Infra-Red Spectroscopy (INIRS) pour l’imagerie cérébrale en temps réel.

Karimian-Sichany, M.

M.Sc.A.

Convertisseur numérique-analogique (DAC) dédié à générateur de signaux sinus pour les applications avioniques.

Kassab, A.,

M.Sc.A.

Conception de casque NIRS / EEG pour surveiller les activités cérébrales.

Keita, A.K.

M.Sc.A.

Énumération efficace de sous-graphes convexes sous contraintes architecturales.

Keklikian, T.L.

M.Sc.A.

Comportement d’un algorithme de Page Rank sur un processeur graphique visant la faible consommation électrique.

Koubaa, Z.,

M.Sc.A.

Élaboration d’un chemin d’acquisition de données à haute résolution et faible latence, dédié aux applications avioniques.

Kowarzyk Moreno, G.

Ph.D.

Développement d’algorithmes de recherche implicitement-exhaustif et de codes convolutionnels doublement orthogonaux parallèle pour plateforme de calcul à haute performance.

Krouchev, N.

Ph.D.

Microstimulation optimale du tissu nerveux - des modèles aux dispositifs.

Laflamme-Mayer, N.

Ph.D.

Conception et mise en œuvre d'un réseau plots configurables multifonctions analogiques et numériques combiné à un réseau de distribution de puissance double rails d'alimentation destinés à une plateforme de prototypage à l'échelle de la tranche de silicium.

Larbanet, A.

M.Sc.A.

Segmentation de fichiers vidéo pour augmenter l’originalité des signatures extraites par l’algorithme de max-Hasting.

Legault, V.

M.Sc.A.

Étude de faisabilité sur la conception d’un engin graphique haute performance dans un contexte d’avionique certifiable.

Le Lan, J.

M.Sc.A.

Développement d’un prototype d’imagerie cérébrale multi canal et portable par spectroscopie proche-infrarouge et électroencéphalographie.

Lepercq, É.

Ph.D.

Algorithme de routage pour l’utilisation et la mise au point d’une plateforme de prototypage rapide pour les systèmes électroniques: Le WaferBoardTM.

Lerebours J.

M.Sc.A

Repérage de contenu numérique à haute vitesse optimisé sur plateforme GPGPU

Li, M.

Ph.D.

Amélioration de la tolérance aux pannes et redondance de gestion dans les AFDX réseaux essentiels à la sécurité.

Li, N.

Ph.D.

Système de compression de signaux neuronaux avec la technologie de détection des pointes en CMOS et théorie de l’acquisition comprimée.

Massicotte, G.

M.Sc.A.

Potentiostat intégré à  basse consommation et dédié à un laboratoire-sur-puce pour la détection et quantification de neurotransmetteurs.

Mehri Dehnavi, M.

M.Sc.A.

Circuit analogique pour la compensation du courant de noirceur des capteurs d’image CMOS.

Mendez, A.

Ph.D.

Méthode efficace pour le monitorage du volume de la vessie chez les patients paraplégiques.

Miled, M. A.

Ph.D.

Laboratoire sur puce implantable au cerveau dédié à la détection et à la séparation des neurotransmetteurs.

Mirzaei, Marjan

M.Sc.A

Conception et fabrication d'un dispositif implantable pour la détection de foyers épileptiques.

Monteiro, P.

M.Sc.A

Automatisation du processus de caractérisation de la consommation de puissance pour l’estimation au niveau modèle transactionnel.

Moradi, A.

Ph.D.

Émetteur de faible puissance et haut débit de données dédié aux microsystèmes biomédicaux implantables.

Mounaim, F.

Ph.D.

Neurostimulateur hautement intégré et nouvelle stratégie de stimulation pour améliorer la miction chez les paraplégiques.

Mouret, G.

M.Sc.A.

Approche statistique de l’économie d’énergie pour animât.

Nabovati Khormazard, G.

Ph.D.

Biocapteur sur puce implantable pour la surveillance de la taille de tumeurs.

Najapour-Foroushani, A.

M.Sc.A.

Performance des systèmes basés sur le classificateur dégradé XCS avec opérateur de précision dans les problèmes d’animât.

Navabzadeh, Nazila

M.Sc.A.

 

Ould Bachir, T.

Ph.D.

Opérateurs et engins de calcul en virgule flottante et applications à la simulation des systèmes électriques sur FPGA.

Pons, J-F

M.Sc.A

Conception d'une plateforme d'animât à basse consommation de puissance utilisant des composants en logique asynchrone.

Robati T.

Ph.D.

Le support matériel pour routeur virtuel (routeur d’agrégation) à haute vitesse.

Rogers-Vallée, M.

M.Sc.A.

Une méthode d’estimation de la consommation de puissance pour systèmes sur puce reprogrammable.

Salam, M. T.,

Ph.D.

Microsystème biomédical implantable pour le traitement de l’épilepsie.

Savard, J.

M.Sc.A.

Exploration d’une procédure de simulation native de système IMA avec une application avionique graphique.

Sharafi, A.

Ph.D.

Conception et mise en œuvre d'un micro-capteur non attaché pour la détection de tumeur du sein précoce.

Siadjine Njinowa, M.

Ph.D.

Conception d’un transmetteur de faible consommation de puissance et applications dans les réseaux WBAN.

Simard, G.

Ph.D.

Télémétrie à très haut débit pour des implants biomédicaux dédiés à l’enregistrement neuronal.

Stimpfling T.

M.Sc.A.

Optimisation d'un algorithme de classification de paquets dans le contexte d'Open Flow en vue d'une implémentation matérielle.

Taboubi, M.

M.Sc.A.

Techniques de localisation des terminaux mobiles dans les environnements indoor.

Tremblay, J.-P.

Ph.D.

Caractérisation de la fiabilité d’un réseau de transducteurs dans le domaine avionique.

Trentin, D.

M.Sc.A.

Étude et implémentation d’un système de communication avionique.

Trigui, A.

M.Sc.A.

Asservissement de l'énergie inductive transmise aux implants électroniques.

Vakili, S.

Ph.D

Optimisation de la largeur d'unités fonctionnelles et de chemins des données de processeurs configurables pour le traitement vidéo.

Watson, M. C .

Ph.D.

Optimisation des paramètres de micro-stimulation intra-corticale pour implants visuels.

Zgaren, M.

Ph.D.

Récepteur sans fil à ultra basse puissance en bande ISM 915 MHz dédié à des dispositifs médicaux.

Zhang, K.

Ph.D.

Spectromètre en technologie CMOS basé sur le phénomène d’absorption du rayonnement électromagnétique.

Zheng, Y.

Ph.D.

Laboratoire sur puce pour la manipulation de particules biologiques par champ magnétique.


DESCRIPTION DÉTAILLÉE DES PROJETS D'ÉTUDIANTS

 

 

AKBARNIAI TEHRANI Mona

DIPLÔME: Ph.D.

 

TITRE:

 

Conception de systèmes de calibration et traitement de signaux pour réseaux d’antennes radar météorologiques.

 

RÉSUMÉ:

 

Le but de ce projet est l'amélioration de la performance des réseaux d'antennes utilisés dans les radars météorologiques. Les performances du réseau d'antennes sont grandement affectées par des erreurs telles que les changements environnementaux et les imperfections de fabrication. Cette recherche vise à mesurer, modéliser et compenser les erreurs mentionnées ci-dessus ainsi que l'amélioration de la performance et de la résolution d'antennes en utilisant des procédés de traitement de signaux.

 

PROBLÉMATIQUE:

 

Certaines sources d’imperfections dans les réseaux d'antennes ne peuvent pas être complètement éliminées lors du processus de conception des antennes. De plus, lors de l'utilisation d'antennes à balayage de fréquences pour construire un radar à balayage, la “largeur du faisceau” du faisceau principal peut être plus large que désiré pour une application radar typique. Il en résulte une résolution angulaire insuffisante. Par conséquent, des algorithmes de traitement du signal pour la compensation d'erreur et l'amélioration de la résolution angulaire doivent être utilisés.

 

MÉTHODOLOGIE:

 

Pour la première partie de projet, une revue de littérature sur les méthodes de calibration est faite et ensuite certains des algorithmes qui sont les plus souvent utilisées sont implémentés dans Matlab pour réaliser un modèle complet d'un système réel. La calibration se fait par l'adaptation du diagramme de rayonnement réel reçu à partir desémetteurs dans des positions prédéterminées pour le faire correspondre le mieux possible au motif idéal attendu (c'est-à-dire en l'absence d'imperfections). Cette partie du projet est terminée.

 

Pour la deuxième partie du projet, après la revue de la littérature sur les méthodes disponibles pour amélioration de la résolution des antennes, une nouvelle méthode est présentée. Dans ce projet des antennes à balayage de fréquences sont utilisées. Certaines propriétés spéciales, comme la relation non linéaire entre l'angle de pointage du radar et la fréquence des signaux transmis, sont utilisées dans la méthode proposée. Cette méthode est basée sur l'intégration des signaux d'écho dans l'analyse.

 

RÉSULTATS:

 

Les modèles étudiés ont été testés au cours de plusieurs expériences en appliquant un modèle réaliste et aussi les données réelles acquises à partir d'une antenne réseau. La performance des algorithmes proposés correspond bien aux résultats attendus. Dans la prochaine étape, la méthode proposée sera implémenté sur une plate-forme numérique.


 

ALLARD-BERNIER, Jessica

DIPLÔME : M.Sc.A.

 

 

TITRE:

 

Méthode de reconfiguration dynamique pour un réseau sur puce tolérant aux fautes.

 

RÉSUMÉ:

 

Le but ultime du projet est de proposer une méthode de tolérance aux fautes intégrées à un réseau-sur-puce, ici le Rotator-on-Chip (RoC), permettant une reconfiguration dynamique du réseau pour compenser les défaillances possibles.  Le tout sera exécuté sur FPGA.  Pour ce faire, il y aura différentes phases dont une implémentation logicielle, une intégration matérielle et l’élaboration d’un environnement de test avec injection de fautes sur FPGA.

 

PROBLÉMATIQUE:

 

Les systèmes intégrés sont de plus en plus utilisés dans le but d’optimiser des applications dédiées, nous n’avons qu’à penser aux téléphones cellulaires ou aux lecteurs MP3.  Cependant, ils augmentent également en complexité dans le but de remplir plus de fonctionnalités avec toujours moins de ressources.  Deux principaux axes sont analysés dans le but d’optimiser les systèmes: les traitements de calcul et les communications.  En solution du deuxième axe, l’utilisation des réseaux-sur-puce est proposée puisque leur rôle est d’interconnecter plusieurs ressources sans dégrader le débit de données.  Diverses topologies de réseaux-sur-puce ont été développées ces dernières années notamment en se basant sur celle de la maille et de l’anneau qui restent des paradigmes dans le monde des réseaux.  C’est cette dernière qui sera étudiée plus en détails dans ce projet.

 

Tous les réseaux sur puces ont des caractéristiques propres à eux qui les rendent plus attrayants dans certains domaines, notamment le nombre de ressources supportées, la rapidité des communications, etc.  Bien que le débit soit une des métriques les plus importantes, il ne faut pas non plus oublier la fiabilité du transit des données.  C’est pourquoi de plus en plus de réseaux sur puce sont développés dans une optique de tolérance aux fautes.

 

MÉTHODOLOGIE:

 

  • Évaluation et analyse des techniques existantes

 

·         Élaboration des bases comparatives

 

·         Développement du modèle

 

·         Simulations et comparaisons

 

RÉSULTATS:

 

Pour être en mesure  de développerla méthode de tolérance aux fautes la plus efficace, il a fallu évaluer quelle méthode de gestion s’avérait la plus performante, soit celle implémentée au niveau logiciel ou celle intégrée directement au RoC de façon matérielle.

 

La méthode intégrée au niveau matériel, qui utilise le bit de parité comme mécanisme de détection et la reconfiguration instantanée des canaux suite à la détection d’une faute, s’avère être la plus performante.  Elle assure une détection sur la totalité de la largeur du chemin de données en plus de prendre en charge les fautes transitoires, ce qui permet d’obtenir le plus bas taux en termes de RPER (Residual Packet Error Rate). Également, elle ne nécessite aucun coût en temps, car la vérification se fait parallèelement à l’exécution de l’application. Par contre, elle nécessite plus de ressources matérielles que la technique de prévention gérée au niveau logiciel.


 

AMERI, Marzieh                                                                                                                               DIPLÔME: M.Sc.A.

TITRE:

Geiger mode front-end circuitry for an Avalanche Photodiode

 

RÉSUMÉ:

Le photo-capteur du casque de la spectroscopie proche infrarouge portable (portable near infrared spectroscopy (NIRS)) est choisi comme une photodiode à avalanche (APD) en raison de son signal photo-généré et amplifié par multiplication avalanche dans la région proche infrarouge. En fonction de l'amplitude de la tension de polarisation inverse et en respectant la tension de claquage, les photodiodes à avalanche (APD) peuvent fonctionner selon deux modes linéaires (proportionnels) et Geiger (comptage de photon unique). Cependant, le fonctionnement en mode linéaire pour les photodiodes à avalanche (APD) implique un gain réalisable bas, et donc pas suffisamment de sensibilité pour détecter des photons uniques. Forcer le fonctionnement dans le mode Geiger, qui est nécessaire pour la détection de photons, implique cependant l'utilisation d'un circuit de refroidissement et de réinitialisation (Quench-Reset). Dans ce dernier mode, les impulsions, se produisant à la suite de la détection de photons, portent des informations importantes, et pouvoir les compter est donc cruciale. Ici, soit on fixe une certaine durée pour un intervalle de temps de comptage, soit on mesure l'intervalle de temps entre deux impulsions détectées conséquemment. Pour avoir un appareil NIRS portable et de faible puissance avec des performances optimisées, il est avantageux d’implémenter le circuit autant que possible avec des processus intégrés. L'utilisation de la technologie CMOS standard offre des chances d'améliorer l'intégration de tous les composants du circuit, c’est aussi moins couteux et plus facile.

 

PROBLÉMATIQUE:

Intégrer le circuit «front-end» pour photodiodes à avalanche en mode Geiger tout en minimisant la consommation d'énergie et maximisant le taux de comptage de photons pour des applications à basse tension, constituent l'exigence du projet.

Le système conçu doit contrôler l'effet de la température sur la performance des photodiodes à avalanche (APD)

 

MÉTHODOLOGIE:

En raison de la nécessité d'un arrêt extérieur et la réduction rapide de la tension de polarisation, le circuit de refroidissement et réinitialisation (quench-reset) doit être employé. L'idée est de garder la photodiode à avalanche (APD) dans un état extrêmement sensible, c’est-à-dire, quelques volts au-dessus de la tension de claquage, par conséquent, en absorbant un photon et en déclenchant une avalanche, une énorme augmentation du courant en sortie se produit, et donc sa tension de cathode serait augmenté. À ce stade, le circuit de refroidissement et réinitialisation (quench-reset) doit être actif pour éteindre l'avalanche et ramener l’APD à un état sensible. Lorsque ceci se produit, le courant devient proche de zéro, et la tension revient lentement à sa valeur précédente.

 

Le système est activé par la détection du bord d'attaque de la forme d'onde de la tension qui apparait à la cathode de la tension de cathode de tension de l’APD qui résulte du courant d'avalanche. La sortie du bloc de refroidissement est numérisée et envoyée au compteur pour compléter le système de détection de photons, en mesurant l’intervalle de temps entre des impulsions successives. Dès que l'impulsion est générée, l'APD devrait être prête à recevoir les nouveaux photons qui arrivent. C’est à ce moment que le bloc de réinitialisation peut être utilisé pour ramener la tension de l'APD au niveau sensible d’origine en générant un «enable pulse» et en activant un interrupteur dans le bloc de refroidissement.

 

Le système de commande conçu avec FPGA contrôle l'effet de la température sur le gain, comptage sombre, tension de claquage et après-pulsation, et surveille la performance de l'APD à travers le rapport signal-à-bruit et l'efficacité quantique.

 

RÉSULTATS:

Nous proposons un système de détection de photons rapide et à basse tension, y compris le circuit de refroidissement et de réinitialisation actif avec un temps de relâche commandable dans la plage de 4ns à 2μs avec un temps mort minimum de 8ns. Le circuit proposé force l’APD à fonctionner en mode Geiger pour la détection de photons uniques. Le circuit s’adapte bien avec les applications à basse tension, et sa consommation d'énergie est faible. En outre, le design bénéficie des avantages de la technologie CMOS de la norme 180 nm des circuits intégrés.



BEN CHEIKH, Taieb Lamine

DIPLÔME: Ph.D.

 

TITRE:

 

Approche multiprocesseur pour l’optimisation des applications biomédicales.

 

RÉSUMÉ:

 

L’imagerie biomédicale prend beaucoup d’essor dans le domaine du traitement numérique d’images grâce à la numérisation et à la vidéo assistance.  Dans cette optique, plusieurs efforts ont été observés dans le développement d’algorithmes poussés pour produire des résultats satisfaisants aux besoins des médecins.  Le problème de la majorité de ces algorithmes est qu’ils sont restés au niveau théorique et leur implémentation n’a pas été encore définie afin de satisfaire les contraintes de temps réel.  Afin de concrétiser ces efforts sur le plan pratique, nous cherchons à assurer une implémentation adéquate de certains de ces algorithmes déjà développés en Matlab (langage interprétable) par le laboratoire de recherche LIV4D à l’École Polytechnique de Montréal.  L’application visée est un système à réalité augmentée pour la chirurgie minimalement invasive.

 

PROBLÉMATIQUE:

 

Après l’étude de l’algorithme de l’application écrite en MATLAB, nous avons remarqué qu’il présente en partie des points communs avec les algorithmes de traitement d’images classiques en termes de structures de données de grandes tailles avec des formes régulières : matrice, tableau et traitement identiques sur les parties de ces structures de données.  Ces points favorisent la parallélisation de l’application en question en proposant comme architecture d’implémentation une plate forme multiprocesseur.  En plus de ces points communs, cette application présente certaines particularités telles que l’emploi intensif du contrôle et l’aspect dynamique du traitement.  Ce qui complique davantage la tâche de la parallélisation.  Compte tenu de ces particularités nous proposons une architecture multiprocesseur hétérogène combinant plusieurs types de processeurs (CPUs et GPUs).

 

MÉTHODOLOGIE:

 

Afin de faciliter la programmation de cette architecture multiprocesseur hétérogène, notre objectif est de proposer un environnement de programmation spécifique.  Cet environnement doit être efficace, flexible et complet.  Pour se faire, nous avons suivi une démarche basée sur un ensemble d'étapes : Comme première étape, nous avons réécrit le code en C (langage compilable) pour accélérer son exécution d’une part et favoriser, d’autre part, son instrumentation et son implémentation vu l’existence d’outils et de compilateurs adaptés pour le C.  Ensuite, nous avons profilé le code en détail et observé la dynamique des données durant l’exécution.  Ceci nous a donné l'idée de simplifier les structures de données en réduisant leurs tailles pour ne garder que les parties de données utiles pour le traitement.

 

RÉSULTATS:

 

Cette étape a permis d’améliorer le temps d’exécution du code séquentiel et d’optimiser la taille mémoire pour les données.  Les premiers résultats ont été très encourageants qui avaient atteint pour une première image avec 55 contours une accélération de 212x par rapport à une implémentation en MATLAB et pour une image de 177 contours, une accélération de 505x.

Malgré cette accélération considérable, nous n’avons pas réussi à atteindre le temps réel avec une exécution séquentielle du programme, d'où le besoin de la parallélisation.

Comme deuxième étape, nous avons proposé une version parallèle du programme optimisé et nous avons implémenté cette version à l'aide du modèle de programmation parallèle OpenMP.  L'expérimentation s'était faite sur un processeur multicore à 4 cœurs.  Cette version a atteint une accélération de 2.74x pour une image de 55 contours et 3.08x pour une image de 177 contours.  Cette accélération reste à optimiser et afin de tirer profit du parallélisme présent dans l'application, nous visons dans la prochaine étape d'implémenter le programme sur une architecture combinant des processeurs graphiques avec CUDA et des multicore avec OpenMP.


BENDALI, Abdelhalim

DIPLÔME: Ph.D.

 

 

 

TITRE:

 

Conception et caractérisation de circuits intégrés CMOS de haute performance pour applications aux interconnexions photoniques.

 

 

RÉSUMÉ:

 

Dans ce projet, nous proposons d’utiliser le procédé CMOS standard pour la fabrication de capteurs d’image couleur (ClC) sans utiliser de filtres nécessaires pour séparer les couleurs primaires ou réseau de filtres de couleur (RFC).  L’objectif majeur, visé par cette technique, est de réduire, d’une part, les dimensions du pixel couleur pour une plus haute résolution des caméras numériques et, d’autre part, contribuer à améliorer la sensibilité des ClC.

 

 

PROBLÉMATIQUE:

 

Aujourd’hui, les cameras numériques (CN) sont devenues les principaux dispositifs de capture d’image.  Par leur capacité d’intégrer de nouvelles fonctionnalités, grâce notamment au traitement numérique d’image, les CN ont remplacé les procédés traditionnels de capture d’image basés sur les pellicules photosensibles.  La tendance actuelle s’oriente plus vers les capteurs d’image utilisant le procédé CMOS qu’aux procédés basés sur les capteurs à couplage de charge (CCD).  En utilisant la technologie CMOS, on peut intégrer sur une même puce la capture et le traitement de l’image, ce qui réduit à la fois la puissance consommée et le coût.  Cependant un compromis est à respecter entre le coût et la performance: les détecteurs d’image CMOS sont moins performants que ceux en CCD dû principalement au bruit et la non-uniformité.

Chaque capteur d’image couleur utilise typiquement un RFC pour la reconstitution de la couleur.  Un RFC est constitué de filtres de lumière de différents spectres de transmission (typiquement dans le rouge, le vert et le bleu) et disposés côte à côte.  Malgré que le RFC est la solution la plus adoptée sur le marché, elle a, néanmoins, le désavantage d’absorber une partie de l’énergie lumineuse incidente à la surface: ce qui ce traduit par une réduction de la sensibilité et l’utilisation d’algorithme d’interpolation spatiale afin de restituer la couleur.  De plus, il engendre un coût supplémentaire dû aux étapes de fabrication additionnelles rajoutées au CMOS standard.  Afin de palier à ces inconvénients, nous proposons  des CIC sans RFC.

 

 

MÉTHODOLOGIE:

 

Après avoir développé un premier modèle analytique du CIC, nous avons intégré sur une puce une matrice de CIC, composé de 120 lignes et 90 colonnes, ainsi que les blocks de traitement du signal.  Grâce à des registres à décalage, cadencé par une horloge externe, on balaie la matrice pixel par pixel.  Le conditionnement du signal capté par le pixel sélectionné consiste en une conversion lumière-voltage suivie d’une amplification.  Un système expérimental de contrôle et de mesure est développé afin de caractériser les différentes variantes du pixel proposé.  Les puces que nous avons conçues sont fabriquées avec la technologie AMS 0.35µm.

 

 

RÉSULTATS:

 

Nous avons obtenu des résultats prometteurs quant au principe de fonctionnement des pixels : nous avons pu démontrer que, selon la géométrie proposée il est possible de récolter l’information de couleur.  Cependant, des améliorations restent à apporter au pixel pour plus de performance.  Nous avons aussi développé un circuit source de courant performant permettant de réduire l’impact des variations d’alimentation sur la matrice de CIC.



BENHAMMADI, Seddik

DIPLÔME: M.Sc.A.

 

 

TITRE :

Réalisation d’un capteur d’image combiné à la spectroscopie de réflexion pour l’analyse non invasive et in vivo du sang rétinal

 

RÉSUMÉ :

 

Dans ce projet, on propose une nouvelle technique de diagnostic de sang non invasive, la technique consiste à combiner la spectroscopie de réflexion avec un capteur d’image.

La conception du capteur d’image doit prendre en compte certains défis pour intégrer la spectroscopie: sa structure doit s’adapter afin de permettre la présence d’une petite ouverture à son dos et au centre laissant la lumière la traverser. La spectroscopie quand à elle emploi une fibre optique de 50 micromètres de diamètre et doit atteindre la première couche d’oxyde de silicium du capteur d’image. Par conséquent un travail de micro-fabrication au niveau de la face arrière du capteur d’image s’ajoute.

 

 

PROBLÉMATIQUE :

 

L’objectif principal de ce projet est de concevoir et réaliser un prototype de capteur d’image combiné adapté pour l’insertion d’une fibre optique.

 

Pour arriver à cela il faut:

 

Ø  Adapter l’adressage de chaque pixel à la structure modifiée du capteur d’image due à la présence d'une fibre optique.

Ø  Développer une technique de gravure profonde au silicium du capteur d’image pour permettre d’insérer une fibre optique d’un rayon de 40 micromètres.

Ø  Proposer un circuit électronique pour la reconstitution de l’image.

 

MÉTHODOLOGIE :

 

Phase 1 : Conception du circuit intégré : capteur d’image

 

Phase2 : Gravure profonde du silicium

 

Phase 3 : Reconstitution d’image

 

Phase 4 : Expérimentation

 

RÉSULTATS :

 

Les résultats expérimentaux du circuit intégré sont concluants, la reconstitution d’image est réussie, la gravure profonde est aussi effectuée mais présente des contraintes sur l’électronique.

 


 

BLOUIN, Frédéric,                                                                                            DIPLÔME: M.Sc.A

 

TITRE:

 

Conception d’un système de vision par ordinateur pour la détection automatique d’inventaire médical.

 

RÉSUMÉ:

 

Ce projet de vision artificielle vise à faire le suivi de l'inventaire médical utilisé par le personnel infirmier. À l'aide d'une caméra placée au plafond, le système tente de reconnaitre les compartiments de différents tiroirs et d'en évaluer leurs contenus.

 

PROBLÉMATIQUE:

 

La gestion d'inventaire dans les hôpitaux constitue un problème de logistique important. Plusieurs systèmes de gestion, déjà en place aujourd'hui, tentent de faire un suivi des différents items utilisés par le personnel infirmier. Cependant, ces solutions comportent certains problèmes et ne sont pas utilisées  adéquatement par le personnel. Par exemple, une solution élaborée par l'entreprise  Logi-D fait l’usage d'un système de puces RFID afin d'identifier chaque item à commander. Le problème de ce système est que les puces ne sont pas toujours déplacées correctement par le personnel et des erreurs de manipulation peuvent facilement survenir, surtout dans les départements de soins d'urgence.

 

Le but du projet consiste donc à améliorer ce système de gestion d'inventaire en le remplaçant par un système de surveillance d'inventaire avec caméra. Un tel système de vision artificielle permettrait de repérer automatiquement les items manquants à l'inventaire.

 

La détection automatique de l’inventaire par un système informatique nécessite de créer un logiciel capable de faire cette détection. Plusieurs algorithmes sont possibles pour faire l’analyse d’images vidéo, mais une identification des algorithmes les plus appropriés à la résolution de ce problème est nécessaire.

 

 

MÉTHODOLOGIE:

 

Une méthode systématique est suivie. D'abord une revue de littérature  des différents algorithmes de vision artificielle est faite afin de faire une sélection des algorithmes les plus appropriés. Ensuite, une comparaison de ces algorithmes est effectuée sur une large banque de vidéos afin d'en retenir les algorithmes les plus performants.

 

Pour arriver à faire une bonne détection visuelle de l'inventaire, un bon traitement vidéo doit être effectué. Le problème principal est donc de traiter correctement les images reçues par la caméra afin de pouvoir établir correctement l’état de l’inventaire.  Différents algorithmes de traitement d'images sont possibles. Par exemple, la détection des bordures de tiroirs et de casiers  peut se faire à l'aide d'une transformée de Hough. La détection du contenu du tiroir peut aussi se faire de plusieurs façons : par une technique de soustraction d'arrière-plan, par une technique de segmentation en régions, par une analyse de l’histogramme des intensités ou encore de l’histogramme de gradient orienté (HOG). Une analyse de ces différentes méthodes permettrait d’obtenir un taux de réussite le plus élevé possible.

 

RÉSULTATS:

 

Le projet a d'abord permis à l'entreprise Logi-D de faire une première implantation du système à l'Hôpital du Sacré-Cœur de Montréal. Des caméras ont pu être installées avec tout le matériel nécessaire (marqueurs de couleur, fonds de tiroirs colorés, supports à caméra). Ensuite, des données ont pu être recueillies afin de connaitre les forces et les faiblesses du système. Les cas d'erreurs du système ont ainsi pu être catégorisés et classés selon leurs occurrences.

 

 


 

 

CHARASSE, Sylvain                                                                                         DIPLÔME: M.Sc.A.

 

 

TITRE:

 

 

Test et débogage des circuits intégrés sur WaferBoardTM avec le standard IEEE 1500 embarqué sur FPGA.

 

 

RÉSUMÉ:

 

 

WaferboardTM est un outil de prototypage pour le développement de systèmes électroniques. Le sujet de recherche s’intéresse à comment permettre le test et le débogage de systèmes électroniques en utilisant le standard IEEE 1500 embarqué dans un FPGA autonome sur le WaferBaordTM.

 

 

PROBLÉMATIQUE:

 

 

Le WaferBoardTM est voué au prototypage rapide de systèmes. Cependant, il n’existe pas encoure d’outils qui permettent à un utilisateur d’obtenir une visibilité sur le design en cours de test. De plus, cette recherche vise à permettre la génération de signaux à des points stratégiques du design. Une autre problématique réside dans le fait que le test et débogage devraient être compatibles avec n’importe quel type de design. C’est pourquoi, nous nous dirigeons plutôt vers l’implémentation d’une norme, l’IEEE 1500.

 

 

MÉTHODOLOGIE:

 

 

Ø  Identifier les besoins spécifiques du test et du débogage pour les concepteurs des systèmes électroniques;

Ø  Analyser le standard IEEE 1500 pour savoir comment il doit être utilisé pour répondre aux besoins spécifiques identifiés plus haut;

Ø  Développer et valider un prototype de FPGA intégrant le standard IEEE 1500;

Ø  Étudier l’interface nécessaire à l’utilisateur pour effectuer les tests et le débogage sur le WaferBoardTM.

 

 

RÉSULTATS:

 

 

Pour le moment, une preuve de concept sur carte de développement FPGA a été réalisée. Le système permet de donner une visibilité sur un design à travers les entrées sorties d’un FPGA. L’utilisation d’une mémoire externe rapide (DDR2) contribue aussi à augmenter la visibilité.

 

Les prochains résultats attendus concerneront la configurabilité assistée d’un tel système, la génération de signaux et une interface utilisateur.


 

CHEVALIER, Axelle                                                                                                         DIPLÔME: M.Sc.A.

 

 

TITRE:

 

Contribution à la mise en œuvre d'une plate-forme multi-capteur pour le monitoring du positionnement géographique et des signaux physiologiques.

 

 

RÉSUMÉ:

 

De nos jours, de nombreuses maladies chroniques touchent les populations et semblent en augmentation. Parmi elles, nous pouvons citer les maladies cardio-vasculaires et le diabète. L'obésité, considérée à la fois comme une maladie et un facteur de risque d’'autres maladies, est également un problème de santé publique. Pour étudier les causes et l''impact de ces maladies, de nombreuses études sont menées pour mesurer certains paramètres chez les personnes. Elles cherchent à récupérer des données sur certains paramètres physiologiques des personnes, mais aussi des informations sur l'environnement  où elles se situent.  La question est de savoir dans quelle mesure l'environnement et le mode de vie ont un impact sur l'émergence et l'accroissement de ces maladies.

 

 

PROBLÉMATIQUE:

 

Afin de réaliser ces études, des outils plus ou moins spécialisés sont utilisés pour mesurer et récupérer les données. Des appareils du commerce peuvent être utilisés, augmentant ainsi le nombre de modules que les participants à l'étude doivent porter. La démocratisation des téléphones portables, contenant de plus en plus de capteurs différents, pourrait permettre de réduire le fardeau généré pour les participants. Malheureusement, des problèmes de durée de vie de batterie et d'imprécision des mesures sont constatés. Ainsi, de plus en plus d'équipes de recherche se tournent vers la conception et le développement des outils dont ils ont besoin et qui n'existent pas à ce jour sur le marché.

 

 

MÉTHODOLOGIE:

 

Ce projet de maîtrise présente donc la participation à la conception et au développement d'un tel appareil, permettant de recueillir la position géographique et certains paramètres physiologiques, tout en étant portable, peu encombrant et ayant une autonomie de batterie de plus de 12 heures. Afin de parvenir à cette autonomie et pour l'améliorer le plus possible, des optimisations de gestion de batterie sont effectuées. Le principe est de déterminer quels sont les moments où certaines données ne sont pas indispensables et à éteindre ou mettre en veille les modules les générant.

 

 

RÉSULTATS:

 

Pour vérifier l'impact des algorithmes de gestion de batterie, des tests sont effectués. Deux unités sont portées simultanément par un participant. Une des unités comprend un programme de référence, où toutes les données sont récupérées en tout temps.

 

L'autre unité comprend un programme avec les stratégies de gestion de batterie. Les courbes de décharge de batterie sont ensuite comparées et l'amélioration de la durée de vie de batterie est largement démontrée avec plusieurs heures de fonctionnement en plus.

 

 

 

 


 

 



DAIGNEAULT, Marc-André

DIPLÔME: Ph.D.

 

 

TITRE:

 

Synthèse et description de circuits numériques au niveau des transferts synchronisés par les données.

 

RÉSUMÉ:

 

Nos travaux de recherche portent sur les outils de conception assistée par ordinateur de circuit numériques. Au rythme de la conjecture de Moore, le nombre de transistors pouvant être intégrés sur une même surface de silicium n'a cessé de doubler tout les deux pendant plus de 4 décennies, si bien que les circuits intégrés modernes sont souvent de véritables systèmes sur puce, pouvant intégrer plusieurs noyaux de processeurs, des mémoires, accélérateurs de calculs et autres circuits dédiés. Néanmoins, ce rythme de croissance effréné à également pour effet de soumettre les outils de conception de circuits numériques à une pression inouïe en termes de besoins d'innovation. Afin de palier aux limitations inhérentes à la synthèse et description de circuits au niveau des transferts entre registres (RTL), nous œuvrons au développement d'un langage de description offrant un niveau d'abstraction intermédiaire entre ceux offerts par les langages de description de circuits usuels (VHDL/Verilog) et les langages de description de logiciels (C/C++). Ce langage est basé sur le modèle CSP (Communicating Sequential Processes) et intègre également des éléments propres au modèle SDL (Specification and Description Languages). Au niveau d'abstraction proposé, les transferts correspondent à des connexions entre des producteurs et des consommateurs de données, adhérant à une interface et à un protocole de synchronisation prédéfinis de type flux de données (Streaming Interface). Afin de permettre la synthèse de circuits numériques décrits avec ce langage, nous œuvrons également au développement d'un compilateur permettant la transformation automatique des descriptions correspondantes en des descriptions à bas-niveau (RTL) pouvant ensuite être synthétisées avec des outils de conception commerciaux existants.

 

PROBLÉMATIQUE:

 

L'interconnexion de producteurs et de consommateurs de données adhérant à des interfaces à flux de données supportant la contrepression (pour indiquer que le consommateur ne peut pas recevoir de données) dans différentes topologies peut donner lieu à des relations cycliques en termes des signaux de synchronisation de ces interfaces. De telles relations cycliques sont problématiques car elles se traduisent par des boucles combinatoires au niveau du circuit. De telles boucles combinatoires peuvent alors manifester un comportement séquentiel ou non-déterministe, allant à l'encontre de l'intention du concepteur. Des relations cycliques peuvent également découler de l'utilisation de règles pour contraindre la réalisation des transferts de données sur des ensembles de connexions. Afin de produire des circuits corrects par construction, le compilateur doit réaliser l'analyse de ces relations cycliques afin d'être en mesure de les transformer en un circuit de contrôle acyclique assurant un comportement déterministe en accord avec l'intention du concepteur.

 

MÉTHODOLOGIE:

 

Afin d'atteindre les objectifs de ce projet de recherche, un compilateur décrit en langage Java est en cours de développement. Ce compilateur à pour objectif la traduction automatique de descriptions de circuits réalisées avec notre langage de niveau intermédiaire en descriptions bas-niveau pouvant être synthétisées avec des outils commerciaux existant. L'évaluation de l'approche de conception automatisée proposée sera faite par son application à la conception de circuits numériques d'intérêt à l'état de l'art.

 

RÉSULTATS:

 

Un premier prototype du compilateur à été appliqué à la réalisation de circuits de traitement et de calcul pour le tri de données récursif Quicksort, l'accumulation de nombres à virgule-flottante, et le produit matriciel. Ces résultats ont menés à trois articles de conférence (FPL2012, Reconfig2012, FCCM2013) et un abstract (FPGA2013). Un article de revue à également été soumis (Computers & Electrical Engineering, Elsevier).


 



DROLET, Jonathan

DIPLÔME: M.Sc.A.

 

TITRE:

 

Circuits numériques intracorticaux pour la détection automatisée des potentiels d’action.

 

 

RÉSUMÉ:

 

Le projet Cortisens a pour objectif de créer une puce intracorticale visant à transmettre l’activité neuronale à un système externe, le tout sans fil.  Afin de réduire la quantité d’information devant être transmise par le lien sans fil, l’extraction des potentiels d’action doit être effectuée.  L’objectif de ce projet de maîtrise est d’évaluer, implémenter et comparer plusieurs architectures de détection de potentiels d’action.

 

 

PROBLÉMATIQUE:

 

La détection de potentiels d’action est un sujet bien étudié, mais plusieurs facteurs inhérents à l’implémentation sur puce intracorticale compliquent le problème :

Ø  Le seuil de détection doit être établi automatiquement par la chaine de traitement, c’est-à-dire le système doit être indépendant des influences externes.

Ø  La consommation d’énergie doit être minimale afin de rester dans les contraintes imposées par une puce intracorticale.  En outre, le nombre de canaux par puce augmentant d’année en année, la consommation du bloc de détection (un par canal) devient crucial.

Ø  Il est désirable d’avoir les meilleures performances possibles en termes de détection.  Cela signifie avoir un bon taux de détection et un faible taux de fausse détection.

 

 

MÉTHODOLOGIE:

 

La méthode de travail consiste à implémenter diverses chaines de détection de potentiels d’action et à comparer les consommations d’énergie de chacune.  Les chaines seront d’abord comparées à l’aide de simulation, puis une puce sera conçue contenant les meilleures architectures pour confirmer les résultats de simulation.

 

 

RÉSULTATS:

 

Les résultats escomptés à la fin du projet de maîtrise sont une chaine de traitement des potentiels d’action avec calcul automatisé du seuil de détection.  La chaine de traitement aura été implémentée sur puce et caractérisée au niveau de performance de détection, consommation d’énergie et surface de silicium.  En outre, des résultats similaires pour différentes chaines de traitement seront aussi donnés pour justifier le choix de l’architecture finale.


 


FARAH, Rana

DIPLÔME: Ph.D.

 

 

TITRE:

 

Des outils de vision informatique pour la surveillance des rongeurs.

 

 

RÉSUMÉ:

 

Les rongeurs sont des animaux très utiles pour la recherche biomédicale. Les expériences nécessitent qu’une personne surveille ces rongeurs pour des longues durées et les annote afin d’en tirer des conclusions. La période de  surveillance peut s’étendre sur plusieurs heures ce qui rend la tâche pénible.  L’objective de ce projet est de proposer une solution automatique qui se repose sur la vision informatique pour suivre et annoter trois comportements des rongeurs dans un environnement biomédical typique : statique, élevé, entrain d’explorer.

 

PROBLÉMATIQUE:

 

Le suivi et la surveillance des comportements de rongeurs en utilisant la vision informatique présente plusieurs défis. En premier lieu, les rongeurs ont des corps extrêmement déformables ce qui les rends difficiles à les représenter par des modèles. Ensuite, les caractéristiques discernables sur le corps des rongeurs sont rares et se limitent à la zone de la tête. Un autre défi est présenté par les cages où les rongeurs sont normalement placés dans un environnement biomédical. Les cages sont normalement en plexiglas pour permettre l’observation des sujets, ce qui les rend susceptibles aux réflexions. Les réflexions sont un facteur majeur qui contribuent au bruit qui se présente lors d’un traitement par vision informatique. Les cages sont normalement couvertes avec du paillis pour assurer le confort du rongeur. Le paillis pourra partager une partie de la distribution de couleur du rongeur ce qui produira du bruit lors du traitement de l’image. Finalement, dans un environnement biomédical typique, l’illumination est  rarement contrôlée ce qui tends à changer continuellement la distribution de couleur du rongeurs à chaque fois qu’il passe d’une zone d’illumination à l’autre ce qui diminue l’efficacité de la représentation par couleur du rongeur.

 

 

MÉTHODOLOGIE:

 

L’approche proposée pour suivre un rongeur dans une cage est composé de deux étapes. La première est de localisé arbitrairement le rongeur dans la trames. La seconde consiste de détecter le contour du rongeur. Pour localiser le rongeur, on a proposé une technique basée sur les fenêtres glissantes et une combinaison de plusieurs caractéristiques. La position de la fenêtre qui maximise un cout de similitude au model du rongeur est supposé représenter la position du rongeur. Pour extraire les contours du rongeur, on a proposé une technique qui se sert des arrêts du rongeurs pour changer les dimensions de la fenêtre placée à la position du rongeur à ce que les dimensions de la fenêtre soit égales à celle du rongeur. L’approche proposée pour détecter les trois comportements des rongeurs repose sur une fusion de plusieurs classificateur SVM (Support Vector Machine) qui se basent sur le MHI (Motion History Image) calculé sur chaque trame.

 

 

RÉSULTATS:

 

Les deux approches ont été implémentées et testé sur des vidéos de rat et de souris. Les vidéos ont été enregistrées à l’hôpital St-Justine dans un environnement typiquement biomédical. Chaque vidéo représente une scène d’un rongeur dans une cage. Concernant le suivi, notre méthode est efficace et robuste. En plus, elle présente une moyenne d’erreur inférieure à une autre méthode de pointe de la littérature. La méthode est stable et capable de récupérer après une erreur de suivi. Nous avons illustré cette méthode dans un article déjà accepté dans la IEEE Transactions on Image Processing. Concernant la détection des trois comportements, les résultats sont en accord avec ce qui est acceptable pour la surveillance des animaux dans un laboratoire biomédical.  En plus, l’efficacité de la  méthode a été prouvé être indépendante de la couleur du rongeur et de la longueur de la séquence de vidéo.

FOMEKONG DONGMO, Armand                                                               DIPLÔME: M.Sc.A.

 

TITRE:

 

 

Apprentissage machine d'un réservoir adaptable de transformations dynamiques embarqué dans un animât primitif.

 

 

RÉSUMÉ:

 

 

Il s’agit:

 

Ø  de concevoir une machine d'apprentissage la plus simple possible mais basée sur un réseau récurrent ESN (Echo State Network ou Réseau à États Échoïques) pouvant se comporter comme un réservoir de transformations dynamiques utiles pour des problèmes de génération de données et de reconnaissance des formes.

Ø  d’embarquer le Réseau ESN dans un animât primitif physique.

Ø  d’évaluer les capacités de génération de données et de reconnaissance des formes de l’ESN embarqué, dans un environnement structuré.

 

 

PROBLÉMATIQUE:

 

 

L’interaction entre les robots et leurs environnements fait l’objet de nombreuses études et progrès scientifiques de nos jours. Les réservoirs à états échoïques (ESN) offrent de bonnes promesses pour la résolution optimale des problèmes de génération de données et de reconnaissance de formes. Il parait donc intéressant d’évaluer les possibles améliorations que ces réservoirs peuvent apporter au fonctionnement des animât utilisés à des fins de génération de données  et de reconnaissance des formes.

 

 

MÉTHODOLOGIE:

 

 

Ø  Étude des caractéristiques particulières d’un réseau ESN

Ø  Revue de différents exemples existants d’application du réseau ESN dans la génération des données et la reconnaissance des formes, et analyser l’influence des paramètres du réseau dans ces applications

Ø  Concevoir des réseaux ESN pouvant traiter le mieux possible des cas particuliers de génération de données et de reconnaissance des formes

Ø  Implanter le réseau ESN dans un animât physique et mesurer ses propriétés essentielles.

 

 

RÉSULTATS:

 

 

Résultats toujours pas très considérables:

 

Ø  Difficultés à maitriser l’influence des paramètres d’un réseau ESN sur une application visée

Ø  Difficultés à trouver des documentations traitant avec précision de l’influence et de l’utilisation de ces paramètres

Ø  Difficultés à reproduire parfaitement des exemples d’application du réseau ESN existants


 

 


FOURMIGUE, Alain

DIPLÔME: Ph.D.

 

 

TITRE:

 

Modélisation au niveau système des architectures tridimensionnelles (3-D) de systèmes multiprocesseurs sur puce (MPSoC).

 

 

RÉSUMÉ:

 

Ce doctorat porte sur les architectures de circuits intégrés en trois dimensions (3D) pour systèmes multiprocesseurs sur puce (MPSoC). L’objectif de ce doctorat est de mettre en place les méthodologies appropriées pour modéliser et exploiter pleinement les possibilités des technologies d’intégration 3D, pour la conception de systèmes multiprocesseurs sur puce (MPSoC).

 

 

PROBLÉMATIQUE:

 

Alors que la miniaturisation des transistors ralentit, les circuits intégrés tridimensionnels (3D) offrent une alternative technologique pour continuer l’intégration de fonctionnalités et développer des circuits toujours plus performants. Les progrès technologiques réalisés ces dernières années en matière d’intégration 3D ont permis la réalisation des premiers prototypes de circuits intégrés 3D. Cependant, le manque d’outils et de méthodologies appropriés pour aider à la conception de ces nouvelles architectures 3D est important, et peut, à terme, compromettre l’utilisation des technologies 3D. Sans outils, ni méthodologies de conception appropriés, les ingénieurs sont contraints de continuer à développer des circuits intégrés 2D conventionnels.  Ce travail répond à un besoin de modélisation des architectures de circuits intégrés 3D et se propose de développer des méthodologies permettant d’en exploiter les possibilités pour la conception de MPSoC.

 

 

MÉTHODOLOGIE:

 

Dans un premier temps, ce doctorat s’intéressera à la modélisation des phénomènes de dissipation thermique dans les circuits intégrés 3D.  En effet, les circuits intégrés 3D sont constitués d’un empilement de multiples couches de silicium et sont exposés à des problèmes de dissipation de chaleur si l’architecture est mal conçue.  L’objectif à court terme de ce travail est de mettre en place une méthodologie pour développer des modèles thermiques pouvant être couplés à des environnements de simulation dynamique de MPSoC.  Le défi est de parvenir à développer des modèles suffisamment performants, précis et nécessitants des efforts de modélisation raisonnables.

 

Dans un deuxième temps, ce doctorat se concentrera sur les méthodologies d’exploration architecturale pour systèmes MPSoC 3D.  L’ajout d’une troisième dimension dans les circuits intégrés, a entrainé l’explosion du nombre d’architectures possibles.  L’objectif est de mettre en place une méthodologie permettant d’identifier rapidement l’architecture la plus appropriée pour une classe d’applications données, car les plateformes MPSoC ciblent toujours une classe d’applications bien précise (multimédia, communication, calcul, etc.), Pour évaluer les différentes architectures possibles, une plate-forme virtuelle modélisant un MPSoC 3D complet et permettant de simuler l’exécution d’applications sur ce MPSoC 3D sera réalisée.

 

 

RÉSULTATS:

 

Un article de conférence portant sur la modélisation de température dans les circuits intégrés 3D a été soumis. Cet article est toujours en cours d’évaluation.


 

GAN, Qifeng

DIPLÔME: Ph.D.

 

 

TITRE:

 

 

Conception et implémentation efficace des filtres de particules sur le processeur à jeux d’instructions spécialisés

 

 

RÉSUMÉ:

 

 

Ce projet porte sur les processeurs à jeux d’instructions spécialisés pour l'implémentation efficace de filtres de particules pour des applications diverses, par exemple en traitement vidéo et en navigation. L’objectif final est de mettre en place une approche de conception qui permette le développement rapide d’implémentations des applications des filtres de particules sur les processeurs à jeux d’instructions spécialisés

 

 

PROBLÉMATIQUE:

 

 

Les filtres de particules (FPs) sont efficaces et populaires pour les applications avec des modèles non-linéaires et/ou non-Gaussian, mais ils sont complexes La plupart des travaux visant à implémenter les FPS dans les systèmes embarqués se concentrent sur la façon d'améliorer leur débit et donc implémenter les FPs sur du matériel dédié. Cependant, la conception des FPs doit être flexible pour les adapter aux applications diverses. Ceci introduit la principale solution à ce problème qui consiste à utiliser les processeurs à jeux d’instructions spécialisés pour l'implémentation de FPs. Des approches de conception et de nouvelles méthodologies doivent encore être développées pour la mise en œuvre des FPs

 

 

MÉTHODOLOGIE:

 

 

Ø  l’analyse d’applications de FPs et leurs algorithmes sont nécessaires afin de les caractériser.

Ø  à partir d'une base de processeur prédéfinie, nous devons identifier les obstacles des applications utilisant les FPs via le processeur configurable Xtensa LX2 et la suite d’outils de Tensilica.

Ø  selon les résultats du profilage, ces obstacles sont optimisés aux niveaux algorithme et architecture sur le processeur configurable Xtensa LX2.

Ø  les algorithmes et architectures optimisés et proposés pour améliorer le débit des applications seront validés avec des applications concrètes.

 

RÉSULTATS:

 

 

Concernant l’implémentation d’applications diverses utilisant FPs, un article rapportant le résultat de caractériser les FPs a été soumis au Journal of Signal Processing Systems 2012. Dans le même article, un nouvel algorithme pour le bloc intensif, likelihood evaluation et son architecture sur le processeur à jeux d’instructions spécialisés sont proposés. Un facteur final d’accélération de 910 par rapport à l'implémentation purement logicielle de l'algorithme original est obtenu. Aussi, un nouvel algorithme pour un autre bloc, resampling, est proposé. Cet algorithme effectue les itérations indépendantes et il peut être exécuté en parallèle avec les instructions spécialisées selon l'application en suivi vidéo. Le calcul d'histogramme est complexe.


 

GHANE-MOTLAGH, Bahareh                                                                                                   DIPLÔME: M.Sc.A.

 

 

TITRE:

 

Conception et réalisation d’une matrice de microélectrodes à haute densité pour des applications d’interfaces cerveau-machine.

 

 

RÉSUMÉ:

 

Dans ce projet, une technique à base de tranche de silicium a été utilisée pour construire la matrice de microélectrodes. Ces types de matrice d’électrodes sont fabriqués par une méthode en micro-usinage et implantés pour l’observation et la stimulation intracorticale et jouant le rôle d’une interface cerveau-machine. Pour augmenter la charge électrique lors de la stimulation, l’impédance doit être abaissée. De nouveaux matériaux ont des surfaces intrinsèquement grandes et conductance élevée. Par ailleurs, les électrodes restent biocompatibles. A cet effet, prochainement les électrodes seront améliorées par l’utilisation de ces nouveaux matériaux. Une approche en trois dimensions a été utilisée pour réaliser un microsystème d’enregistrement neuronal plus compact intégrant des matrices d’électrodes et des circuits intégrés servant à la sélection des sites, l’amplification et les puces de traitement de signal.

 

PROBLÉMATIQUE:

 

Le domaine des interfaces implantables cerveau-machine est une activité de recherche émergente. Des progrès remarquables sont effectués au niveau bioélectronique, mais les contacts électrode-tissus (CET) demeurent l’un des obstacles majeurs. Les contacts obtenus en utilisant des réseaux de microélectrodes demeurent l’un des obstacles majeurs. Ces contacts génèrent des problèmes de biocompatibilité avec ces interfaces cerveau-machine en raison de la réponse biologique à l’implantation permanente et également à cause des propriétés électroniques des réseaux de microélectrodes.  Nos objectifs, dans ce projet, consistent en l’élaboration de réseaux de microélectrodes dont la sélectivité, la biocompatibilité à long terme, la stabilité chimique, la qualité d’enregistrement, la sensibilité et d’autres caractéristiques électriques sont les principaux critères. Différents types de réseaux de microélectrodes en silicium, fabriqués grâce à des techniques de couche mince, n’ont pas le potentiel de haute densité, de faible impédance et de faible consommation d’énergie nécessaire pour les CET, requis par les stimulateurs implantables. En se concentrant sur ces aspects, des réseaux de multi-électrodes à haute densité et faible impédance sont conçus et fabriqués.

 

MÉTHODOLOGIE:

 

La méthode de couches minces associée aux étapes électrochimiques sera utilisée pour fabriquer des matrices de multi-électrodes. Une gravure chimique est effectuée pour lisser les surfaces. Dans l’étape suivante, les électrodes sont recouvertes avec de nouveaux matériaux par dépôt électrochimique. La caractérisation de l’échantillon montrera la faible impédance des électrodes et un transfert de charges élevé. Par ailleurs, les électrodes restent chimiquement inertes et biocompatibles.

 

RÉSULTATS:

 

La première partie du projet qui est une fabrication de substrat matrice de microélectrodes a été faite. Des électrodes ont été dessinées et fabriquées en utilisant des techniques pour le micro-usinage. La prochaine étape est le revêtement des pointes des électrodes avec différents matériaux pour diminuer l’impédance des électrodes.

 


 

GHANNOUM, Anthony

DIPLÔME: M.Sc.A.

 

 

TITRE:

 

Module de reconnaissance d’objets dédié à un stimulateur visuel cortical.

 

 

RÉSUMÉ:

 

Ce projet s’insère dans le cadre de la réalisation d’un stimulateur visuel cortical par l’équipe Polystim neurotechnologies.  Il consiste à créer un module de reconnaissance d’objets pour aider les personnes qui souffrent de cécité visuelle à se retrouver dans un environnement quelconque et de reconnaitre les objets qui les entourent.  Aussi la stratégie de triangulation des phosphènes sera réévaluée.

 

 

PROBLÉMATIQUE:

 

L’objectif est d’implémenter en C++ (OpenCV) et MATLAB un module de traitement d’images pour faire la reconnaissance d’objets.  Le module logiciel devrait ensuite être traduit en VHDL pour exploiter la vitesse du matériel qui permettra d’appliquer le traitement en temps réel.

 

 

MÉTHODOLOGIE:

 

La première étape consiste à définir les spécifications du module de reconnaissance d’objets, ses objectifs principaux ainsi qu’à définir sa pertinence dans le cadre du projet Cortivision.  Cela comprend aussi son intégration au traitement 3D d’images déjà implémenté pour le projet.  La partie conséquente serait une étude des différentes approches pour obtenir les résultats requis, en validant et comparant les méthodes avec MATLAB.  L’étape suivante serait de sélectionner la meilleure de ses méthodes pour l’implémenter en matériel (VHDL) et l’intégrer au module de traitement d’image existant qui interface le capteur d’image conçu dans le cadre du même projet.

 

 

RÉSULTATS:

 

Une étude de différentes approches de reconnaissance d’objets a été commencée pour avoir une idée générale sur ce qui bénéficierait le plus aux personnes qui souffrent de cécité visuelle.  Cela comprendrait aussi les algorithmes d’apprentissage et d’intelligence artificielle.  La base du projet a donc été établie ainsi que son contexte et le plan d’action.  Un module d’étalonnage utilisant des marqueurs repères suivis par des algorithmes de traitement vidéo est conçu pour caractériser la carte phosphène du patient.  L’algorithme en C/C++ a été validé et testé sur un PC hôte et certaines parties de l’algorithme ont été transférées à l’implémentation matérielle sur FPGA.  La partie matérielle utilise le VHDL et Xilinx System Generator/MATLAB qui facilite la procédure de test, validation et la comparaison d’images.


 

GHOLAM ZADEH Bahareh                                                                                                  DIPLÔME: M.S.cA.

 

 

TITRE:

 

Conception et fabrication d’un réseau de biocapteurs pour la mesure de la force cellulaire.

 

 

RÉSUMÉ:

 

Dans ce projet, différents types de MEMs capteurs ont été développés pour mesurer l’adhérence focale des cellules. Le système composé de capteurs MEMs et une partie électrique pour surveiller la variation de signal de sortie (en raison d’adhérences focales). Les capteurs MEMS ont été conçus avec le logiciel Comsol Multiphysics et nous allons démontrer et discuter de la fabrication et les résultats expérimentaux.

 

 

PROBLÉMATIQUE:

 

Analyser les interactions entre les cellules et la matrice extracellulaire est une des étapes importantes dans différentes études biologiques comme l’ingénierie tissulaire ou la caractérisation d’une maladie comme le cancer et la leucémie. Aussi, cela fournit des renseignements essentiels sur la motilité cellulaire, la migration cellulaire et la survie des cellules. Au cours de l’interaction entre les cellules et la matrice extracellulaire, les obligations protéines spéciales seront formées qui sont connues comme les adhésions focales. Les cellules seront physiquement connectées à la matrice extracellulaire à travers ces obligations. Plusieurs études ont été réalisées pour comprendre la formation de l’adhésion focale et l’effet de son altération sur le comportement des cellules. Comme objectif à long terme, nous voulons développer un système innovant pour l’évaluation  du comportement mécanique des protéines d’adhésion focale.

 

 

MÉTHODOLOGIE:

 

Pour analyser le comportement des cellules dans des situations différentes en contrôlant leur adhésion focale, un réseau de cantilevers est conçu et fabriqué en utilisant des technologies standard POLYMUMP et METALMUMPS. La force d’adhérence peut être analysée sur la base de la mécanique, ainsi que la variation électrique de l’électrode fonctionnalisée. Ces forces déplacent le capteur optique, capacitif ou piézo-électrique intégré avec cantilevers et en comparant les résultats de sortie de ces capteurs, il sera possible de démontrer les avantages et les inconvénients des différentes méthodes de mesure de force d’adhérence.

 

 

RÉSULTATS:

 

Après avoir fait des simulations avec le logiciel Comsol multi physiques et de faire une analyse théorique pour trouver la dimension optimale des cantilevers, le lay-out a été conçu et soumis à MUMPS fournisseur de technologie-NEMSCAP – par CMC Microsystèmes. Afin de tester la structure de l’installation, la lecture microélectronique est en cours d’élaboration pour chacun des capteurs. L’application d’une force sur le dessus de capteurs entraine la déflexion de cantilevers et enfin en fonction du type de capteur (optique, piézo-résistif, ou capacitif) la déviation est mesurée à l’aide de lecture. Différents types d’expérience seront faits afin d’assurer la fonctionnalité du système.

 

 

 

 

 

 

 

 


 

 

GIL, Diana

DIPLÔME: M.Sc.A.

 

 

 

TITRE:

 

Processeurs embarqués configurables pour la reproduction de tons en temps réel

 

RÉSUMÉ:

 

Les images à large gamme dynamique (HDR) peuvent capturer les détails d’une scène à la fois dans les zones les plus claires et les zones ombragées, en imitant les capacités du système visuel humain. La reproduction de tons (TM) vise à adapter les images HDR aux dispositifs d’affichage traditionnels. Dans ce mémoire, on discute de l'implémentation d'algorithmes de TM.

 

PROBLÉMATIQUE:

 

La première partie du travail s’occupe d’une application des algorithmes de reproduction de tons: l’amélioration du contraste. Nous avons  effectué une comparaison de plusieurs méthodes de pointe d’ajustement du contraste, y compris deux opérateurs de TM. Cette analyse comparative a été mise en œuvre dans le contexte d’applications de surveillance lorsque les vidéos sont prises dans des conditions d’éclairage faibles.  La qualité de l’image a été évaluée en utilisant des métriques objectives comme le contraste d’intensités et l’erreur de la brillance, et via une évaluation subjective. De plus, la performance a été mesurée en fonction du temps d’exécution. Les résultats expérimentaux montrent qu’une technique récente basée sur une modification de l’histogramme présente un meilleur compromis si les deux critères sont considérés.

 

MÉTHODOLOGIE:

 

Les algorithmes de TM imposent habituellement des besoins élevés en  ressources de calcul. En conséquence, ces algorithmes sont normalement  implémentés sur des processeurs à usage général puissants et des processeurs graphiques. Ces plateformes ne peuvent pas toujours satisfaire les contraintes de performance, de surface, de consommation de puissance et de flexibilité imposées par le domaine des systèmes embarqués. Même si ces exigences sont souvent contradictoires, les processeurs à jeu d’instructions spécialisées (ASIP) deviennent une alternative d’implémentation intéressante. Les ASIP peuvent fournir un compromis entre l’efficacité d’une solution matérielle dédiée et la flexibilité associée à une solution logicielle programmable.

 

 

RÉSULTATS:

 

La deuxième partie du travail présente la conception et l’implémentation d’un processeur spécialisé pour un algorithme global de TM. Nous avons analysé l’algorithme entier afin d’estimer les besoins en données et en calculs. Trois instructions spécialisées ont été proposées: pour calculer les valeurs de la luminance, du logarithme et de la luminance maximale. En utilisant un langage de description architecturale, les instructions spécialisées ont été ajoutées à un processeur similaire à un RISC de 32 bits. Le logarithme a été calculé à l’aide d’une technique spécifique à faible cout basée sur une approximation de Mitchell améliorée. Les résultats expérimentaux démontrent une augmentation de la performance de 169% si les trois instructions y sont rajoutées, avec un cout matériel supplémentaire de seulement 22%.

 

 

 


 

 

GUÉRARD, Hubert

DIPLÔME: M.Sc.A.

 

 

TITRE:

 

Intégration d’un modèle de réseau sur puce dans un flôt de conception de niveau système.

 

 

RÉSUMÉ:

 

Les systèmes embarqués deviennent plus complexes puisqu’ils incluent beaucoup de ressources et doivent réaliser plusieurs fonctionnalités. Ceci introduit un problème au niveau de l’interconnexion des ressources, car un grand volume de donnée doit être traité. Une solution proposée est l’utilisation des NoC (Network-on-chip). Cependant, due à la complexité de ses topologies, il faut utiliser une méthodologie haut niveau (ESL) afin d’abstraire les communications pour ainsi permettre de simuler et valider plus rapidement le système à concevoir. Le recours aux métriques de performance permet de quantifier la performance du modèle en termes de débit, latence, etc. Lors de l’élaboration, nous avons modélisé et intégré un NoC dans un outil haut niveau.

 

 

PROBLÉMATIQUE:

Bien que l’utilisation de réseaux sur puce soit une réalité industrielle, l’implémentation et la simulation de ses topologies écrites dans un langage de description matériel nécessite beaucoup d’efforts. Par conséquent, la validation d’un système à concevoir utilisant un réseau sur puce peut s’avérer très fastidieuse due à la complexité de la topologie. Ceci est attribuable à la granularité fine de ces langages.  Dans une approche haut niveau (ESL), au lieu d’utiliser un langage de description matériel, on utilise le langage de programmation haut niveau, par exemple C/C++, ainsi qu’une librairie de simulation haut niveau telle que SystemC afin de créer les modèles. L’intégration des réseaux sur puce dans les outils ESL est une approche récente. Ceci est dû à l’émergence des réseaux sur puce.

 

 

MÉTHODOLOGIE:

 

Ø  Sélection d’un outil ESL

Ø  Intégration d’un réseau sur puce dans ce dernier

Ø  Création d’une architecture de test

Ø  Simulation de l’architecture

Ø  Raffinement de l’architecture

Ø  Comparaison des résultats avec la littérature

 

 

RÉSULTATS:

L’objectif de ce mémoire a été atteint dans la mesure où un modèle de réseau sur puce a été correctement intégré dans l’outil ESL haut niveau. La validation du modèle a été confirmée à l’aide des métriques développées. Il a été possible de démontrer qu’une erreur de 18% existe entre la simulation et l’exécution sur puce FPGA d’une architecture test. Nos résultats démontrent donc que le comportement du modèle haut niveau respecte assez bien le comportement du modèle bas niveau et qu’en plus la simulation ne prend qu’une fraction du temps. La technique proposée est générique et peut être appliquée à différentes topologies telles que le maillage et l’anneau.

 


 


GUILLEMOT, Mikaël 

DIPLÔME: M.Sc.A

 

 

TITRE:

 

Conception d’un logiciel de contrôle pour le système de prototypage DreamWafer.

 

 

RÉSUMÉ:

 

Le groupe de recherche en microélectronique de l’École Polytechnique de Montréal participe à un projet de recherche d’envergure. Répondant au nom de DreamWafer, il est soutenu par un partenaire industriel : Gestion TechnoCap Inc. Ce projet consiste en un nouveau système de prototypage rapide de circuits numériques à l’échelle de la tranche : le WaferBoard. L’objectif à terme est de permettre le test et la validation des circuits électroniques au niveau système en un temps et des couts réduits en concevant une plateforme reconfigurable. En résumé, le WaferBoard peut être assimilé à un circuit imprimé (Printer Circuit Board) reconfigurable. Il doit permettre le prototypage de circuit à composants discrets en déposant simplement ces composants à sa surface.

 

L’objectif général de ma recherche est de concevoir le logiciel nécessaire au support de la plateforme WaferBoard. A savoir l’élaboration d’une architecture générale favorisant l’intégration des ressources techniques existantes, la conception d’un modèle évolutif simplifiant les accès au matériel et la réalisation d’un système d’affichage adapté à la complexité d’une telle plateforme. Cela dans un environnement technique bipolaire (électronique et logiciel) connaissant un renouvèlement important des personnes intervenant sur le projet.

 

 

PROBLÉMATIQUE:

 

Le logiciel de contrôle d’une plateforme électronique tel que le WaferBoard doit répondre a des contraintes de performance et de flexibilité, tout en intégrant les résultats de multiples recherches. L’architecture mise en place doit de plus être en mesure de supporter des échanges d’informations important (plusieurs millions d’objets à traiter).

 

 

MÉTHODOLOGIE:

 

Ø  Priorisation des contraintes;

Ø  Sélection d’un modèle équilibré entre performance et adaptabilité;

Ø  Intégration des contraintes spécifiques du projet au modèle;

Ø  Supervision technique du développement;

Ø  Test et validation du résultat.

 

 

RÉSULTATS:

 

Logiciel fonctionnel basé sur l’architecture proposé (certaines fonctionnalités basée sur des travaux de recherche en cours ne sont pas assurées). Le logiciel actuel représente approximativement 150 000 lignes de code.

 


 

HACHANI, Ahmed                                                                                            DIPLÔME: M.Sc.A.

 

 

TITRE:

 

 

Prototype d’un stimulateur multi canal flexible dédié aux applications interfaces cerveau-machines.

 

 

RÉSUMÉ:

 

 

La stimulation électrique du cortex visuel fait l’objet de différentes travaux de recherche pour aider les personnes souffrant de cécité, et ceci, en faisant percevoir des points lumineux, appelés phosphène dans le champ visuel du patient.

 

Notre objectif dans ce projet de maîtrise, consiste en la réalisation d’un prototype de stimulateur multi canal flexible garantissant la sécurité requise pour cette application. Ce système représente un outil de recherche pour entreprendre éventuellement des tests in vivo chez le singe. Les résultats préliminaires serviront à améliorer le prototype utilisé et à entreprendre une version complètement intégrée sur puce du système.

 

 

PROBLÉMATIQUE:

 

 

Dans le cas de la prothèse visuelle, un micro stimulateur intra cortical possède un nombre élevé des sites de stimulation, ce qui rend l’architecture du système complexe, pourtant, malgré la complexité déjà présente, le micro stimulateur doit offrir une grande flexibilité au niveau du choix des sites de stimulation, ainsi que sur le niveau des paramètres du stimulus. En outre, l’impédance de l’interface électrodes-tissu est variable et peut atteindre des valeurs élevées, ce qui requiert une tension de sortie élevée. Alors, il faut fournir une plage de tension suffisante pour couvrir cette variation. Ainsi, il faut garder un aspect sécuritaire au niveau de la stimulation et ceci en gardant une stimulation équilibrée.

 

 

MÉTHODOLOGIE:

 

 

Réalisation d’un prototype sur «Breadboard» avec des composantes discrètes disponibles commercialement, une fois l’architecture validée, nous allons réaliser un autre prototype sur un circuit imprimé. Une interface graphique homme- machine sous LabView est conçue parallèlement.

 

 

RÉSULTATS:

 

 

Après validation du système, des tests in vivo seront faits.


 

HACHED, Sami                                                                  DIPLÔME: Ph.D.

 

TITRE:

 

Sphincter artificiel commandé et alimenté en énergie sans fil.

 

RÉSUMÉ:

 

Les sphincters artificiels urinaires classiques sont actionnés mécaniquement et appliquent une pression constante sur l'urètre du patient. Leur utilisation nécessite une certaine dextérité et s’avère compliquée pour les personnes à faible mobilité (personnes âgées, obèses, ayant post-AVC, Parkinson, etc.). La pression constante exercée sur l'urètre peut causer l'atrophie urétrale quand elle est élevée et ne garanti pas la continence quand il est faible. Notre projet vise à développer un nouveau sphincter urinaire artificiel. Ce nouveau dispositif intelligent offrira de nouvelles fonctionnalités comme le contrôle à distance et la variation dynamique de la pression exercée sur l’urètre. Cela en vue de réduire le nombre de chirurgies de révision et faciliter l'utilisation  de l’implant au  médecin et au patient.

 

PROBLÉMATIQUE:

 

L’objectif de nos travaux est de concevoir et développer un nouveau sphincter artificiel intelligent à haute fiabilité, qui rattrape les défauts des dispositifs actuels et offre plus de confort et d’intimité au patient, à travers

une commande sans fil. Une régulation dynamique de la pression exercée sur l’urètre doit être mise en oeuvre afin de le protéger contre les risques d’atrophie et d’érosion. La possibilité de réajustement des paramètres et recalibrage  du dispositif sans avoir recours à une chirurgie de révision doit être étudiée. On vise également l’exploitation des effecteurs de l’AMS afin d'offrir la possibilité au patient possédant déjà un AMS 800 de modifier leurs implants et profiter des performances du nouveau sphincter artificiel. Un module de réapprovisionnement énergétique sans lien inductif doit aussi être conçu.

 

MÉTHODOLOGIE:

 

1.       Revue de littérature:

Ø  Explorer l'état de l'art et identifier les dispositifs et systèmes implantables employés actuellement pour assurer la continence du patient. Étudier leurs concepts et mécanismes.

Ø  Exposer leurs avantages et inconvénients et évaluer les possibilités d'amélioration et les alternatives.

2.       Proposition d'une solution:

Ø  Proposer un nouveau concept ou une nouvelle configuration qui permet de résoudre les problèmes des dispositifs implantables classiques sans nuire ou altérer leurs avantages.

3.       Exploration des outils et ressources nécessaires:

Ø  Définir les besoins en termes de ressources matérielles et logicielles requises au développement de la solution. Évaluer les disponibilités de ces ressources (possibilités d'approvisionnement, License, prise en main,…).

4.       Mise en œuvre d'un prototype:

Ø  Développement/construction d'un prototype de validation dont la conception est  basée totalement ou partiellement sur la nouvelle solution.

5.       Expérimentation et publication des résultats:

Ø  Mise à l'épreuve du fonctionnement du système.

Ø  Conduction de tests in vivo et in vitro.

Ø  Evaluation des performances.

Ø  Publications des résultats obtenus.

 

RÉSULTATS:

 

Nous avons déjà développé et testé un premier prototype (au laboratoire et à l’hôpital) qui inclus la commande à distance et soumis un article qui est en cours de révision pour son renvoi au journal.

Le deuxième prototype (qui inclus la commande à distance, le paramétrage, la régulation et l’alimentation) est prêt il sera testé prochainement et un article sera rédigé et soumis.

HAMIE, Ali                                                                                                         DIPLÔME: M.Sc.A.

 

TITRE:

 

Prototype d’une micro pompe implantable dédiée à l’injection des médicaments contre l’épilepsie.

 

RÉSUMÉ:

 

L’objectif de ce projet est de proposer et valider un dispositif hybride regroupant une micro pompe implantable sur la surface corticale et comprenant une unité de contrôle intégrée sur puce. Ce système servira à relacher une quantité minime de médicaments dès qu’une crise serait détectée par une autre interface dédiée à ce rôle.

 

PROBLÉMATIQUE:

 

L’épilepsie est une des nombreuses dysfonctions neurologiques chroniques qui se caractérise par une tendance à

des crises récurrentes. De nombreux patients souffrant d’épilepsie restent des candidats non-éligibles à une chirurgie impliquant une résection du tissu épileptogène pour les aider à guérir. Les traitements alternatifs, telles que la stimulation cérébrale et l’injection des médicaments, peuvent améliorer la situation des patients en tentant d’arrêter une crise épileptique déclarée dès son apparition. De nombreuses études sont réalisées pour fournir un traitement efficace aux patients épileptiques, mais les solutions proposées demeurent peu efficaces.

 

MÉTHODOLOGIE:

 

Pour réussir la mise en œuvre du système proposé, nous nous intéressons à la technologie de BioMEMS. La micro pompe visée serait composée de matériaux flexibles et doit être miniaturisée pour permettre son implantation au niveau du cortex. Suite à une revue de littérature de design de micro pompes et un survol de composants disponibles commercialement, nous examinerons les unités de contrôle de micro pompes existantes. Ensuite nous entreprenons ce projet selon les principales étapes suivantes:

 

Ø  Mise en œuvre d’un prototypage se servant de circuits discrets pour valider le principe de la solution proposée;

Ø  Design et fabrication d’une pompe;

Ø  Conception et test de l’interface électronique dédiée au contrôle de micro pompe complétée;

Ø  Validation d’opération et publication des résultats.

 

RÉSULTATS:

 

L’apparition d’une crise épileptique a été simulée par une impulsion générée pour démarrer la micro pompe. L’impulsion générée pour simuler une crise a provoqué la génération des signaux de contrôle définissant la période de l’activation du système en entier. Ce signal défini aussi la fréquence d’activation du micromoteur attaché au diaphragme de la micro pompe. Comme prévu, le système de pompage a délivré la dose de fluide programmée et s’est remis en veille à la fin du pompage. La consommation énergétique sous une tension de 5 V du système complet est de 3,34 mA en veille et 40 mA en opération. La consommation de la carte de contrôle est de 3,32 mA en veille et 3,72 mA en mode actif.

 

Les résultats obtenus sont encourageants, le système permet de recevoir les paramètres d’opération. Le débit de pompage et le délai avant sa remise en veille peuvent être changés. L’utilisation de la membrane en silicone PDMS a conféré une grande élasticité ce qui a permis d’atteindre des débits de l’ordre du millilitre par minute. Comparé à un système de pompage de commerce, notre système nous a permis de réaliser une économie de 50% de la consommation énergétique. Cependant, la consommation de la carte de contrôle ne chute pas considérablement quand cette dernière est en veille. Cela est dû au module Bluetooth faisant partie de la carte. En effet, la radio de ce dernier est en fonctionnement continu pour permettre la connexion à tout moment. De plus, l’utilisation de la version 2.1 EDR du protocole ne permet pas de réduire la consommation lorsque le circuit attend pour se connecter. L’usage d’un protocole de communication basse puissance comme le Bluetooth 4 permettrait de réduire énormément la consommation en veille.


 

 


HASANUZZAMAN, Md

DIPLÔME: Ph.D.

 

 

TITRE:

 

 

Un système implantable hautement flexible de faible puissance dédié à microstimulation intracorticale visuelle

 

 

RÉSUMÉ:

 

 

L'objectif de ce projet est de concevoir un microstimulateur intracortical microélectronique pour faciliter la recherche en prothèse visuelle et à long terme  pour aider les personnes ayant une déficience visuelle.

 

 

PROBLÉMATIQUE:

 

 

Jusqu'à présent, la plupart des prothèses visuelles développées sont basées sur la microstimulation de la rétine à qui il manque la haute résolution. La technique de microstimulation intracorticale résout cette limitation, mais les conceptions proposées jusqu'ici ne répondent pas à la conformité de haute tension; capacités de surveillance de la tension, impédance et de la charge, débit de données élevé, à haut rendement énergétique des générateurs de stimuli, et une nouvelle stratégie de microstimulation pour créer une vision utile dans le même système de microstimulation.

 

 

MÉTHODOLOGIE:

 

 

Ø  Une revue exhaustive de la littérature est effectuée dans notre domaine de recherche pour trouver les limites, les défis et les problèmes non résolus qui existent en microstimulation intracorticale visuelle.

Ø  Conception, aménagement et simulation post-layout des circuits électroniques intégrés utilisant les technologies nécessaires dans l'environnement de l'outil Cadence.

Ø  Mesure des puces fabriquées via Canadian Microelectronics Corporation (CMC), dans le laboratoire Polystim et l'exécution in-vitro et in-vivo des tests  afin de vérifier si les systèmes conçus peuvent répondre aux exigences.

 

 

RÉSULTATS:

 

 

Deux puces ont été fabriquées et testées dans le laboratoire Polystim. Le premier circuit intégré (IC) n’est pas totalement fonctionnel car il ya une erreur dans le processus de fabrication et le second IC est entièrement fonctionnel. Ce dernier  sera connecté à un réseau de microélectrodes pour mener à des tests bien in-vitro et in-vivo. La troisième puce, un générateur de stimuli efficace en énergie, devant être connecté avec le second circuit intégré est en cours de processus de fabrication. Un certain nombre d'articles ont été publiés sur la simulation post-layout et les résultats de mesures et quelques articles sont en cours actuellement.

 


HAWI, Firas

DIPLÔME: M.Sc.A.

 

 

 

TITRE:

 

Conception et implémentation d’un système de stéréoscopie passive dédié au traitement d’images 3D.

 

 

 

RÉSUMÉ:

 

Ce projet consiste à faire la reconstruction 3D d’une scène quelconque en utilisant l’information reçue de deux caméras.  Le principal but de ce projet est de fournir un algorithme robuste exécutable à temps réel. Il est réalisé dans le cadre du développement du stimulateur visuel cortical.

 

 

 

PROBLÉMATIQUE:

 

Le laboratoire de Polystim neurotechnologie avait développé des systèmes de reconstruction 3D en utilisant la stéréoscopie active.  Ces systèmes serviront à rendre aux déficients visuels une information sur la profondeur de champ du milieu dans lesquels ils vivent.  Des problèmes éthiques et énergétiques induits par l’utilisation des sources actives de ces systèmes peuvent être résolus en utilisant un système totalement passif.  Pour réaliser ce système, de nouveaux obstacles se présentent : il faut atteindre de hauts niveaux de précision, résoudre des problèmes d’occlusion et garantir l’applicabilité en temps réel.

 

 

 

MÉTHODOLOGIE:

 

Il s’agit de créer un algorithme robuste qui répond aux exigences de précision et de rapidité.  Nous essaierons de bénéficier de la flexibilité et robustesse des approches probabilistes mais aussi de la précision et rapidité des méthodes déterministes.  L’algorithme sera implémenté sur MATLAB.  La partie acquisition sera implémentée en matériel.

 

 

 

RÉSULTATS:

 

Un algorithme robuste aux occlusions est conçu et simulé avec succès.

 


 

HUSSAIN Wasim                                                                                                          DIPLÔME: M.Sc.A.

 

TITRE:

 

Fournir des liens bidirectionnels et une capacité de communication analogique en WaferBoard™.

 

 

RÉSUMÉ:

 

L'objectif de la recherche est la suivante:

 

Ø  Développer une interface qui peut prendre en charge des liens bidirectionnels comme le bus I2C sur WaferBoard™.

Ø  Développer une interface analogique qui fera la démonstration des performances améliorées par rapport à celui existant dans WaferBoard™.

 

 

PROBLÉMATIQUE:

 

WaferBoard™ est une plate-forme configurable qui peut assurer l'interconnexion entre IC (circuits intégrés) pour un système électronique de prototypage et de test. Le réseau d'interconnexion est compatible avec tout signal numérique parce que la commutation / routage est effectuée par des multiplexeurs numériques. Ainsi, l'interface bidirectionnelle et analogique n’est pas possibles dans la version actuelle de WaferBoard™.

 

 

MÉTHODOLOGIE:

 

Le projet interface bidirectionnelle, lorsqu'ils sont interconnectés par le biais WaferBoard™, peut imiter le comportement d'une “ligne de métal unique” pour les bus à drain (ou collecteur) ouvert du protocole I2C. Ainsi, plusieurs nœuds de circuits différents peuvent être raccordés ensemble sur WaferBoard™.

 

Un circuit d'interface analogique basé sur une modulation sigma-delta asynchrones a été proposé pour WaferBoard™.

 

 

RÉSULTATS:

 

L'interface bidirectionnelle peut prendre en charge nombre infini de nœuds I2C. Cependant, l'augmentation du nombre de nœuds impliquera une diminution de la vitesse de communication.

 

L'interface analogique peut prendre en charge une bande passante de signal de 700 kHz.


 

KAMRANI, Ehsan                                                                                             DIPLÔME: Ph.D.

 

TITRE:

Concevoir un systèeme Integrated Near Infra-Red Spectroscopy (INIRS) pour l’imagerie cérébrale en temps réel.

 

RÉSUMÉ:

Un système intégré de spectroscopie infrarouge proche (INIRS) fournit un moyen peu couteux, non invasif et portable pour surveiller le fonctionnement du cerveau et les tissus biologiques. Il peut être appliqué dans les diagnostics médicaux modernes pour déterminer l’oxygénation cérébrale, le débit sanguin et l’état métabolique du cerveau. La fNIRS à onde continue (CW-fNIRS) comprend la source de lumière et le photorécepteur frontal. L’élément fondamental du système fNIRS est le photorécepteur frontal qui comprend une photodiode et un amplificateur de transimpédance (TIA). En conséquence, la conception d’un bon photorécepteur fNIRS front-end pour l’imagerie cérébrale portable et en temps réel est la cible la plus difficile que nous avons abordé dans ce travail

 

PROBLÉMATIQUE:

La lumière reçcue par un photo détecteur fNIRS est d’abord transformée en courant électrique et un TIA converti ensuite le courant à la tension maximale pour optimiser le rapport signal sur bruit (SNR) pour les étapes suivantes du récepteur pour un traitement ultérieur. Par conséquent, le TIA joue un rôle essentiel entre le photo détecteur et le circuit suivant. Comme photo détecteur, nous avons utilisé une photodiode au silicium à avalanche (SiAPD) en raison de sa simplicité et de sa haute sensibilité, ses caractéristiques de gain inhérents et la facilité de fabrication d’un capteur d’images CMOS intégré. Toutefois, les principaux inconvénients des SIAPDs sont leur temps de transit relativement longs, en comparaison des diodes PIN rapides, et leur bruit supplémentaire généré en interne en raison du facteur de multiplication par avalanche. À cause de l’impédance de faible amplitude et le plus souvent à haute source de signaux fNIRS, le photorécepteur frontal doit répondre à certaines exigences de base. Ces exigences comprennent : gain de transimpédance d’entrée élevée, une faible impédance de sortie relativement à l’impédance de charge, largeur de bande étroite autour de la fréquence requise pour augmenter le SNR, des caractéristiques de faible bruit d’entrée, faible consommation d’énergie, le swing de sortie élevée, une large gamme dynamique, rejet de la lumière ambiante et à faible tension de fonctionnement.

 

MÉTHODOLOGIE:

Afin de surmonter les limitations des systèmes actuellement fNIRS non-portables disponibles, un nouveau détecteur de lumière èa faible bruit miniaturisé, reconfigurable a été proposé et conçu. Il comprend trois nouveaux AIT et un circuit Quench-Reset contrôlable intégré, avec des photodiodes à avalanche au silicium (nouveaux SiAPDs) sur la même puce utilisant la technologie CMOS standard, Nous avons présenté plusieurs CMOS à faible bruit et à haute sensibilité SiAPD intégré sur la puce avec une option TIA à être utilisée dans un photorécepteur fNIRS frontal. L’optimisation de la performance des ADP CMOS se fait par simulation au niveau périphérique à l’aide du logiciel TCAD Sentaurus.

 

RÉSULTATS:

Des propositions de circuits intégrés ont été mises en œuvre dans une petite zone (1mm2) et fabriquées par TSMC via CMC Microsystèmes. Les SiAPDs proposées offrent un gain d’avalanche élevé (>100) avec l’épaisseur de la couche d’ozone supérieure à 40 µn et 10 µm, une tension de claquage faible (<12V) et une efficacité d’absorption de photons de haute tension (~85%) à 700 nm. Les DRM proposés offrent une consommation d’énergie faible (~0.8mW»), haute de transimpédance de gain (jusqu’à 250mV/A), bande passante accordable (100Hz-1GHz) et un très faible bruit d’entrée et de sortie (quelques fA/√Hz et quelques mV/√Hz). Le circuit de comptage de photons offre également un temps rapide de réinitialisation, une faible consommation d’énergie (~1mW), base tension (1.8V) et un circuit hold-off de temps contrôlable intégré, tous fabriqués dans une petite zone (0.1 mm2). Le travail est en cours en vue d’intégrer APD avec le CW-SPIR et les circuits en mode de comptage de photons et émetteur-récepteur sans fil sur une seule puce. Nos objectifs actuels sont d’améliorer l’efficacité du front-end du photo détecteur et le développement d’un détecteur multi-canal pour être combiné avec un EEG de chevet pour le suivi de convulsions et d’épilepsie et aussi pour répondre aux exigences et aux critères cliniques.


 

KARIMIAN-SICHANY, Masood 

DIPLÔME: Ph.D.

 

 

TITRE:

 

Convertisseur numérique-analogique (DAC) dédié à générateur de signaux sinus pour les applications avioniques.

 

 

RÉSUMÉ:

 

Dans ce projet, un convertisseur numérique-analogique (DAC) à sur-échantillonnage 10-bit a été conçu. Le DAC est le noyau d'un générateur de signaux d'excitation polyvalent (ESG) dédié à une interface de capteur intelligents avioniques. La haute fréquence d'échantillonnage peut être utilisée dans ce convertisseur «segmented current steering» afin d'obtenir un bon rapport signal sur bruit (SNR). Lors de la conception du module au niveau du convertisseur, l'impact de la mise en œuvre de la matrice de sources de courant carré et non carré (CSA) sur l'exécution de la séquence de commutation est introduit. Une séquence de commutation optimale pour la taille CSA a été conçue.

 

 

PROBLÉMATIQUE:

 

Les capteurs et les actionneurs de type high channel count sont nécessaires pour aborder un nombre croissant de fonctions à bord des aéronefs. Avec la technologie traditionnelle avionique, relier les dispositifs résulte en des faisceaux de câbles encombrants. En outre, dans de nouveaux systèmes avioniques, les communications entre périphériques via différents domaines d'application sont également nécessaires, ce qui augmente considérablement le flux d'informations au sein de l'appareil. Les faisceaux de fils électriques et la demande d'une bande passante de communication de plus en plus élevé posent des défis nécessitant le développement de nouveaux bus de données avioniques. L'emploi d'une haute vitesse, des structures de bus de données haut débit et de nouvelles technologies de capteurs dans les réseaux de capteurs avioniques, génère le besoin d'une interface fiable, flexible et universelle, qui devrait permettre de réduire la complexité du réseau d'interconnexion. En ce qui concerne le potentiel de la technologie state-of-the-art CMOS, elle est d'un grand intérêt pour la construction d'une interface de capteur totalement intégrée.

 

 

MÉTHODOLOGIE:

 

Compte tenu des objectifs et des défis de cette recherche, une unité nouvelle ESG a été conçue qui peut être intégrée avec l'unité d'acquisition de données pour constituer un module de système sur puce SSI pour les applications avioniques. L'exactitude et la précision de la sortie ESG ainsi que la programmation de la fréquence et de l'amplitude devrait être procurée dans la conception des systèmes et circuits. Ce travail présente la méthode de calcul pour la réalisation d'un DAC de haute précision sur la base duquel l'ESG génère le signal d'excitation.

 

 

RÉSULTATS:

 

Le Convertisseur a été fabriqué sur puce 1,2 x 1,2 mm2 en utilisant la technologie IBM 0.13μm CMOS. Sous une onde sinusoïdale de courant avec un pic de 1023 pA, le CAD a proposé est en mesure de réaliser un SNR de 84 dB meilleur que dans la bande de Nyquist DC à 20 kHz.


KASSAB Amal                                                                                                                                    DIPLÔME : M.Sc.A.

 

 

 

TITRE:

 

Conception de casque NIRS / EEG pour surveiller les activités cérébrales

 

 

RÉSUMÉ:

 

 

La détection de l'activité cérébrale en utilisant la spectroscopie infra rouge proche (NIRS) et l'électroencéphalographie (EEG) est devenue une source majeure de compréhension dans les applications médicales et de l'ingénierie. Cette méthode non invasive de surveillance est considérée comme la première étape de détermination de maladies cérébrales. En outre, l’interface cerveau-machine permet la commande directe de divers appareils utilisant directement l'activité du cerveau.

 

 

PROBLÉMATIQUE:

 

 

Les plus grands défis dans la création d'une telle interface sont la stabilité et le confort, en particulier pour la détection de l'activité cérébrale à long terme. Ceci est particulièrement important dans la NIRS, car elle est très sensible aux artéfacts de mouvement. Par conséquent les chapeaux NIRS existants devaient compromettre le confort afin d'obtenir la stabilité nécessaire. Cependant, ces solutions ne peuvent pas être traduites dans les applications qui nécessitent 6 heures ou plus de surveillance continue. Dans cette conception d'un casque NIRS/EEG, un système pneumatonique constitué d'une pompe, des ballons et des capteurs agissent ensemble pour créer et maintenir une interface avec une pression uniforme et confortable tout en assurant le contact avec le cuir chevelu à tous les points, créant ainsi une adaptation de topographie avec le crâne, qui soit stable et confortable en même temps.

 

 

MÉTHODOLOGIE:

 

 

Les étapes de conception sont les suivantes:

 

Ø  Créer un prototype basé sur le concept théorique conçu.

Ø  Tester le prototype de deux facteurs: la stabilité et le confort.

 

 

RÉSULTATS:

 

 

Le chapeau est encore en construction, donc, il n'y a pas résultats concrets à ce jour.


 

 KEITA, Abdoul-kader,                                                    DIPLÔME: M. Ing.

                                                                                                                                                          

 

TITRE:

 

 

Énumération efficace de sous-graphes convexes sous contraintes architecturales.

 

 

RÉSUMÉ:

 

 

Ce projet présente un algorithme d’énumération de sous-graphe convexe dans le contexte de l’extension du jeu d’instruction d’un processeur configurable.

 

 

PROBLÉMATIQUE:

 

 

L’architecture à programmes enregistrés des processeurs à usage généraux est intrinsèquement peu efficace. Pour respecter les contraintes énergétiques caractéristiques des domaines embarqués, certains concepteurs se tournent vers les processeurs configurables. Dans ce contexte, les performances du circuit obtenu sont  tributaires de la capacité du concepteur à identifier les opportunités d’accélération dans l’application cible et à les exprimer sous forme de nouvelles instructions pour les processeurs configurables. L’automatisation de ce processus d’identification est donc critique à la productivité du concepteur. Sous certaines hypothèses simples, ce problème peut être réduit à l’énumération de sous-graphe convexe avec des contraintes sur le nombre d’entrée et de sortie.

 

La complexité de ce problème est exponentielle selon la taille de l’application cible. Il est donc nécessaire d’utiliser des méthodes d’implémentation ainsi que des heuristiques de recherche pour obtenir des temps de calcul raisonnables.

 

 

MÉTHODOLOGIE:

 

 

Après une revue de littérature récente, les travaux de (Bonzini & Pozzi, 2007) ont été implémenté et ont servis de base de comparaison. Le nouvel algorithme a été développé dans le contexte de la programmation par contrainte qui donne des outils théoriques précis pour contraster les approches précédentes et également clairement identifier les possibilités d’améliorations de nos propositions. Une attention particulière est portée sur la qualité de l’implémentation notamment l’utilisation d’instructions vectorielles, lorsque possible.

 

 

RÉSULTATS:

 

 

Les deux principaux résultats issus de nos travaux sont, une implémentation efficace de l’algorithme proposé (Bonzini & Pozzi, 2007) et un nouvel algorithme qui présente de meilleures caractéristiques de recherche. Évaluer sur un benchmark standard, notre proposition offre une accélération moyenne de 19x, avec des instances allant jusqu’à 468x. On obtient également des temps d’analyse très bas, ce qui permet de traiter des instances de très grande taille.


 

 

KEKLIKIAN, Thalie                                                                                        DIPLÔME: M.Sc.A

 

 

TITRE:

 

Comportement d’un algorithme de Page Rank sur un processeur graphique visant la faible consommation électrique.

 

 

RÉSUMÉ:

 

Le sujet de recherche se place dans le contexte «Green Data Center» qui se consacre à la réalisation d’un serveur éco énergétique qui pourra être utilisé dans les centres de traitement de données. Page Rank est une application utilisée dans ces centres de traitements de données et qui permet de mesurer l’importance des pages web afin de les placer en ordre après une requête de recherche à l’engin de Google.

 

 

PROBLÉMATIQUE:

 

Une des voies du contexte «Green Data Center» est l’exploration des effets de l’utilisation des processeurs graphiques pour le calcul générique (GPGPU – General Purpose Graphics Processor Unit) sur la consommation d’énergie électrique. Les processeurs graphiques possèdent beaucoup plus de cœurs qu’un processeur multi cœur standard x86 (utilisé dans les centres de traitement de données), leur permettant, ainsi, de faire du traitement massivement parallèle. En utilisant ce potentiel, il est possible d’accélérer certaines applications et ainsi d’en améliorer leur performance énergétique. Le calcul principal utilisé dans l’application Page Rank est une multiplication entre une matrice creuse et un vecteur (SpMV – Sparse Matrix-Vector), un calcul hautement parallélisable.

 

 

MÉTHODOLOGIE:

 

La première étape consiste en un apprentissage des processeurs graphiques et des différents langages de programmation qu’il est possible d’utiliser ainsi qu’un apprentissage de l’algorithme de Page Rank. Cet algorithme n’étant pas disponible au grand public sous forme de code, une étape d’écriture d’un programme séquentiel en C sera nécessaire. Un algorithme parallèle devra être conçu, basé sur l’algorithme précédent, à l’aide du langage de programmation pour processeur graphique choisi. Les résultats obtenus permettront, finalement, d’obtenir un modèle caractérisant le comportement de l’algorithme Page Rank sur un processeur graphique.

 

 

RÉSULTATS:

 

Les principaux résultats seront la performance (vitesse d’exécution), le cout et l’énergie consommée de l’algorithme Page Rank parallèle sur processeur graphique. Ces résultats pourront être comparés à l’algorithme séquentiel de base sur processeur x86 afin de pouvoir conclure sur la place des processeurs graphiques dans le traitement de données. À ce jour, aucun de ces résultats n’a été obtenu.


 

KOUBAA  Zied                                                                                        DIPLÔME: M.Sc.A.

 

 

TITRE:

 

Élaboration d’un chemin d’acquisition de données à haute résolution et faible latence, dédié aux applications avioniques.

 

RÉSUMÉ:

 

L’acquisition des signaux ainsi que leur traitement sont importants pour un avion. Sans ses capteurs, un avion est aveugle dans le ciel. Notre travail de recherche s’intéresse à l’interface électronique des capteurs L/RVDT utilisés dans les avions de Bombardier et plus précisément à l’unité d’acquisition des signaux. Étant donné que, de nos jours, la tendance est vers le digital, la numérisation des données du capteur est la fonction la plus importante dans sa chaine d’acquisition. La résolution, la précision et la rapidité sont des facteurs vitaux dans les systèmes avioniques. Nous avons alors proposé une architecture complète pour convertir et traiter les signaux du capteur L/RVDT avec une résolution de 14 bits et une latence totale de moins de 2 ms.

 

PROBLÉMATIQUE:

 

Une des meilleures architectures de convertisseurs analogique-numérique (CAN) est le Sigma Delta; il permet d’obtenir un signal numérique de plus haute résolution que tout autre convertisseur analogique numérique et ce en utilisant le même nombre de bits. Il se compose de deux parties: un modulateur et un filtre décimateur. Afin d’assurer une haute résolution, on doit utiliser des filtres d’ordre élevé ce qui entraîne une latence élevée. Il est alors obligatoire de proposer une nouvelle architecture de filtres décimateur dédié à un modulateur sigma-delta fonctionnant en fréquence 5.12o -MHz et présentant un SNR supérieur à 85 dB (résolution de 14 bits au minimum) sans dépasser une latence de 2 ms.

 

MÉTHODOLOGIE:

 

Ø   Revue de la littérature sur les convertisseurs analogiques-numériques de haute résolution.

Ø   Caractérisation du modulateur Sigma-Delta utilisé afin de déterminer ses différents paramètres et de compléter par la suite le chemin d'acquisitions de signal (CAS).

Ø   Élaboration d’une architecture complète d’un chemin d'acquisition du signal (CAS) assurant la résolution demandée.

Ø   Réduction de la latence introduite par le système en modifiant les parties les plus critiques (par exemple le filtre décimateur) sans diminuer la résolution de 14 bits.

Ø   Implémentation de la solution proposée sur une carte FPGA pour valider notre travail.

 

RÉSULTATS:

 

La simulation par Simulink de l’architecture proposée pour la chaine d’acquisition du signal montre une bonne résolution avec une latence inférieure à 1 ms. L’implémentation de l’architecture proposée respecte toujours les contraintes imposées par l’industrie.


 


KOWARZYK MORENO, Gilbert

DIPLÔME : Ph.D.

 

 

TITRE:

 

Développement d‘algorithmes de recherche implicitement-exhaustif et de simulation de codes convolutionnels doublement orthogonaux parallèle pour plateforme de calcul à haute performance.

 

RÉSUMÉ:

 

Le présent projet est une suite logique des travaux entrepris par le GRM portant sur la recherche de codes convolutionnels doublement orthogonaux (CDO) et de leurs variantes. Ceux-ci sont utilisés pour l‘implémentation de décodeurs à seuil itératifs et à architecture configurable ayant des caractéristiques désirables en termes de latence, de complexité et de performance en correction d’erreurs. La principale motivation de ce travail est de concevoir, implémenter et optimiser un algorithme de recherche permettant de trouver, dans un temps de calcul réduit, des codes optimaux de type CDO au sens large et CDO simplifiés (S-CDO). La nouvelle technique combine plusieurs améliorations algorithmiques et un usage plus efficace des ordinateurs multi-cœurs pour réduire le temps de calcul et pour permettre l’obtention de nouveaux codes plus courts, ainsi que de nouveaux codes optimaux. On vise également à concevoir un simulateur de décodeurs à seuil itératifs de haute performance.

 

PROBLÉMATIQUE:

 

Avec l’omniprésence des moyens de communication électroniques et le besoin d’une bande passante de plus en plus grande, il devient important à la fois d’avoir des communications fiables et de trouver des techniques maximisant le débit d’information utile. Le décodage à seuil itératif permet de minimiser la probabilité d’erreurs de transmission et offre une alternative intéressante aux décodeurs turbo à latence et complexité généralement plus élevées. Ce travail concerne l’accélération de la recherche de codes CDO simplifiés et au sens large. Les codes obtenus seront utilisés pour la conception de décodeurs CDO à basse latence et bonne performance en correction d’erreurs.

 

MÉTHODOLOGIE:

 

La première phase de ce projet consiste à analyser l’algorithme référence pour la recherche de CDO et d’identifier les goulots d’étranglement associés. Dans une deuxième phase, un algorithme parallèle et implicitement-exhaustif pour la recherche de codes CDO (au sens large, simplifiés et récursifs) sera développé et implémenté. Celui-ci mettra en œuvre des techniques permettant d’utiliser de façon plus efficace le matériel à multi-cœurs, et d’éliminer (ou de réduire) les délais associés aux goulots d’étranglement de l’algorithme de référence. Des techniques permettant d’arrêter et de redémarrer la recherche de codes seront développées : ceci est nécessaire car le temps d’exécution du logiciel parfois excède le MTBF de la machine où il a été lancé. Dans une troisième phase, les leçons apprises seront utilisées pour développer un algorithme adapté à l’usage du GPGPU ou des plateformes de développement à processeurs hétérogènes. Des tests seront effectués afin de pouvoir comparer les nouvelles performances et d’assurer que la recherche est toujours exhaustive et valide. Finalement, un simulateur de décodeurs à seuil itératifs sera conçu.

 

RÉSULTATS:

 

Un algorithme parallèle implicitement-exhaustif fut développé et implémenté. Les performances du nouveau logiciel de recherche sont entre 3 et 4 ordres de grandeurs meilleures par rapport à l’algorithme de référence. De nouveaux codes plus courts et de nouveaux codes optimaux furent trouvés et validés. L’ajout de techniques pour arrêter/redémarrer la recherche fut complété. Le développement de nouveaux algorithmes pour le calcul de type GPGPU est présentement en cours.


 

KROUCHEV, Nedialko

DIPLÔME: Ph.D.

 

 

TITRE:

 

Micro stimulation optimale du tissu nerveux – des modèles aux dispositifs.

 

 

RÉSUMÉ

 

Pour mieux comprendre les facteurs de cause à effet et définir rigoureusement l'optimalité des dispositifs de stimulation électrique fonctionnelle (SEF), on modélise la neuro-dynamique à différentes échelles - d'un seul compartiment jusqu’aux réseaux cérébraux plus complexes.

 

En utilisant des données expérimentales obtenues en collaboration avec des équipes; qui sont à l'avant-garde de l’électrophysiologie biomédicale (U. de Montréal, McGill, U.Washington), on met nos modèles au service de l’élucidation et de la mise en place de protocoles de validation et d’applications.

 

 

PROBLÉMATIQUE:

 

Quelle est la façon la plus efficace d'activer le tissu ciblé? Quelle forme d'onde requert la moindre consommation d'énergie? Quels sont les effets de la stimulation à court, moyen et long terme?

 

La recherche deffets fonctionnels particuliers est dominée par les methodes ad hoc. Il y a donc besoin de plus de connaissances sur la dynamique des neurones et leurs réseaux.

 

 

MÉTHODOLOGIE:

 

Modélisation des propriétés électriques de la SEF en augmentant graduellement la complexité. Simulation en dynamique en tenant compte de l’organisation corticale pour étudier la plasticité neuronale et la correlation entre les régions stimulées.

 

 

RÉSULTATS:

 

Description des propriétés neuro-dynamiques en fonction des paramètres de la SEF et du tissu-cible: excitabilité, plasticité induite, entraînement etc.

 

Principe de moindre action en SEF.

 

Tâches prioritaires:

Ø  Modèles de SEF corticale avec les Profs. Shmuel (McGill) et Fetz (U. Washington)

 

Ø  Rédaction d’articles sur le Principe de moindre action en SEF, de neuro-dynamique nonlinéaire, ainsi que de revue sur les facteurs d'optimalité de la SEF, mis en perspective historique.


 


LAFLAMME-MAYER, Nicolas

DIPLÔME: Ph.D.

 

TITRE:

Conception et mise en œuvre d'un réseau de plots configurables multifonctions analogiques et numériques combiné à un réseau de distribution de puissance double rails d'alimentation destinés à une plateforme de prototypage à l'échelle de la tranche de silicium.

 

RÉSUMÉ:

Le projet DreamWafer™ est un projet de recherche conjoint entre l’UQO, l’UQAM et l’École Polytechnique de Montréal (ÉPM) ainsi que de partenaires industriels visant à créer une carte innovatrice de prototypage rapide de systèmes. Plusieurs circuits intégrés (ICs) sont déposés aléatoirement sur cette carte WaferBoard™, qui est ensuite configurée pour interconnecter ces ICs par des liens physiques configurables à l’aide de circuits actifs dans une tranche de silicium (WaferIC™) comme le ferait un circuit imprimé. Une des composantes du WaferIC consiste en son réseau de plots permettant de relier les ICs. Ces plots doivent être versatiles pour permettre de relier des plots de n’importe quel type. La conception de ces plots est l’objet de ce projet.

 

PROBLÉMATIQUE:

Un des objectifs de la dite thèse est d'améliorer le réseau de distribution de puissance existant du WaferIC en concevant un réseau de distribution de puissance bénéficiant des deux rails d'alimentation disponibles (1.8 et 3.3o V) tout en réduisant considérablement la consommation de surface (diminution visée de >30%). Un second objectif est de concevoir un plot d'entrée-sortie I/O numérique configurable réutilisant les régulateurs qui seront implémentés dans le réseau de distribution de puissances. Un autre objectif est de concevoir un générateur de tension dit "Bangap" pouvant générer des tensions sur toute la plage de l'alimentation soit de 0 à 3.3V tout en demeurant indépendant des variations de procédé, de la température et de la tension de l'alimentation. Un autre objectif est de concevoir un bus analogique (ADC vers DAC) réutilisant au maximum les circuits déjà en place dans le WaferIC. Pour terminer un système de mesure de la tension pour chacun des plots sera implémenté et pourra retourner cette valeur via une chaine JTAG vers le logiciel en place. Un objectif commun est de proposer et d'implémenter un système de redondance tolérant aux pannes le tout n'offrant qu'une seule sortie vers le monde extérieur. Toutes les fonctions auront donc une sortie commune et un élément important est la réutilisation massive des circuits implémenter ainsi que d'implémenter une architecture permettant cette réutilisation.

 

MÉTHODOLOGIE:

Après une revue de la littérature existante exhaustive, la première étape consiste à implémenter un régulateur double rail d'alimentation (1.8 et 3.3V) dans une surface de silicium minimale (répondant aux critères tu WaferIC) ainsi qu'un "Bangap" configurable sur toute la plage de 3.3V. Les solutions retenues seront fabriquées en technologie CMOS 180nm à faible cout disponible via la CMC. Une fois les solutions testées et validées, elles seront intégrées à un réseau de distribution de puissance hiérarchique propre au WaferIC tout en respectant les critères de puissance nécessaires et ce tout en réduisant au maximum la surface occupée. La nouvelle surface de silicium récupérée à l'aide de la distribution de puissance hiérarchique pourra être utilisée pour incorporer un réseau massif d'ADC et de DAC pouvant être utilisés séparément ou en chaine formant ainsi un bus analogique. Ce même réseau d'ADCs et de DACs doit s'inscrire dans une politique de réutilisation massive des circuits déjà existant de la distribution de puissance (transistors et ligne de métal intégrée). Un système d'échantillonnage de plus de 1.2 million de plots doit y être intégré afin de pouvoir échantillonner les niveaux de tension de tous les plots en fonction et retourner ce niveau via un mot de 8 bits sur un lien JTAG. La réutilisation des ADCs déjà présents sera une option fortement étudiée. Un second système d'échantillonnage du courant distribué sera également étudié afin de le déployer parallèlement. L'espace restreint disponible sera le point tournant de l'intégration de toutes ces fonctions, une architecture de partage et de réutilisation des fonctions existantes ainsi que des lignes de métaux intégrés devront être recherchée. Toutes les solutions retenues seront implémenté sous forme de test chip en technologie CMOS 180nm de la fonderie TOWER. Cette solution sera une version à petite échelle du WaferIC. Toutes les solutions devront être validées expérimentalement.

 

RÉSULTATS:

Ce projet a déjà mené à la publication de 3 articles de conférence IEEE et les premiers résultats d’une première puce ont menés à l’écriture d’un article de journal qui est en processus de soumission. Une seconde puce a été fabriquée et est en période de test.

LARBANET, Adrien                                                         DIPLOME:M. Sc. A.

 

 

TITRE:

 

Segmentation de fichiers vidéo pour augmenter l’originalité des signatures extraites par l’algorithme de max-Hashing.

 

 

RÉSUMÉ:

 

La quantité d'information créée, stockée et échangée, de manière numérique, croît chaque année. Il y a en effet un nombre croissant d'utilisateurs d'Internet dans le monde (2 milliards en 2010) et, d'autre part, le débit des liens et la capacité des supports de stockage numériques ne cessent d'augmenter. Cet environnement, en croissance perpétuelle donc, ne facilite pas la recherche d'objets numériques illégaux, stockés ou échangés. En partenariat avec la société NetClean, notre travail consiste à concevoir des équipements capables d’analyser le trafic d’information circulant sur des liens dont le débit peut atteindre 100 Gbps. On souhaite pouvoir identifier, dans ce trafic, le passage de fichiers (ou segments de fichiers) considérés illégaux. Le premier champ d’application concerne la lutte contre la diffusion de fichiers à contenu pédopornographique. Nous nous concentrons à l’étude du référencement et de la détection de fichiers vidéo.

 

 

PROBLÉMATIQUE:

 

Un fichier transféré sur un lien internet est fragmenté en une multitude de paquets. Ces derniers sont acheminés vers leur destination via des liens qui transportent d’autres informations provenant d’autres utilisateurs et, ce, à des débits pouvant atteindre 100 Gbps. Les paquets n’empruntent pas nécessairement le même chemin dans le réseau ce qui signifie que, les paquets ne transitent pas tous sur un lien en particulier et ne passent pas forcément dans l’ordre. L’algorithme de max-Hashing permet de répondre à toutes ces contraintes.

En étudiant l’organisation de l’information dans un fichier vidéo, on remarque une quantité relativement importante de métadonnées : des segments de données qui sont communs à tous les fichiers de même «format». Or, l’algorithme de max-Hashing est sensible à ces similarités et, s’il est appliqué de manière brute à un fichier vidéo, il en découle un taux important de «faux-positifs» lors de la détection (i.e. des fichiers non illégaux sont vus comme illégaux).

 

 

MÉTHODOLOGIE:

 

Afin de minimiser le taux de faux-positifs lors de la détection, il convient de s’assurer de l’originalité des signatures extraites avec une version enrichie de l’algorithme (vérifier que ces signatures sont uniques à un fichier et un seul). Pour parvenir à cette version enrichie, on modifie le logiciel libre et open source FFMPEG afin de localiser les segments à haute-entropie dans le fichier vidéo, c'est-à-dire les segments qui contiennent l’information propre à la vidéo. Une fois localisés, on focalise l’algorithme de max-Hashing sur ces derniers.

 

 

RÉSULTATS:

 

On applique l’algorithme brut et sa version enrichie sur un panel de 1577 vidéos encodées au format H.264. Chaque signature est comparée à toutes celles générées avec la même version de l’algorithme. Celles qui se retrouvent dans au moins deux fichiers sont considérées comme redondantes et donc non-utilisables pour la détection. On observe une diminution de 68% du nombre de signatures redondantes.


 

LEGAULT, Vincent                                                                                                                  DIPL.ÔME: M.Sc.A.

 

 

TITRE:

 

Étude de faisabilité sur la conception d’un engin graphique haute performance dans un contexte d’avionique certifiable.

 

 

RÉSUMÉ:

 

Avec l’arrivée de matériel informatique de plus en plus performant, l’industrie aéronautique intensifie depuis la dernière décennie le développement de nouvelles fonctionnalités visuellement attrayantes telles que le glass cockpit, la vision synthétique ou encore les cartes de navigation tridimensionnelles.  Bien que ce type de fonctionnalité soit répandu dans un large éventail de produits comme les jeux vidéo ou les GPS, l’insertion de ces technologies est retardée pour les systèmes avioniques, qui doivent se soumettre à des normes de conception très strictes. Parmi ces nouvelles fonctgionnalités visuelles, nous retrouvons, entre autres, les systèmes de vision synthétique. Il est impératif que la conception et que le développement de ce type de système répondent à un ensemble d’exigences de sécurité très stricte. Il est donc nécessaire de repenser les méthodologies de conception actuelles pour permettre de concevoir un système complexe qui assurera sa mission et qui sera sécuritaire.

 

 

PROBLÉMATIQUE:

 

L’engin graphique requis pour une application telle qu’un système de vision synthétique (SVS) est très gourmand en ressource de traitement graphique et nécessite l’utilisation d’un GPU. Malheureusement, chaque fois qu’un concepteur développe ou calibre ce genre de système il se heurte de façon récurrente à la même question : mon application surchargera-t-elle le GPU de la plateforme avionique cible? Jusqu’à présent, aucun outil ne peut aider les concepteurs à faire un choix éclairé à ce sujet. Sachant ces lacunes, il nous est donc venu l’idée d’implémenter un benchmark spécialisé qui serait une contribution adaptée et efficace à cette problématique.

 

 

MÉTHODOLOGIE:

 

Ø  Caractérisation d’une application graphique type;

Ø  Développer une application de mesure de performances pour une plateforme avionique embarquée;

Ø  S’assurer que ces plateformes pourront satisfaire les besoins de l’application SVS.

 

 

RÉSULTATS:

 

Aucun résultat n’est disponible pour l’instant.

 


 

 

LELAN, Jérôme                                                                                                                        DIPL.ÔME: M.Sc.A.

 

 

 

TITRE:

 

Développement d’un prototype d’imagerie cérébrale multi canal et portable par spectroscopie proche-infrarouge et électroencéphalographie

 

 

RÉSUMÉ:

 

Cette maîtrise a pour but l'implémentation et la validation d'une instrumentation d'acquisition de bio-signaux issus des technologies d'imagerie cérébrale que sont l'électroencéphalographie (EEG) et la spectroscopie proche-infrarouge (NIRS).

 

 

PROBLÉMATIQUE:

 

La spectroscopie proche-infrarouge (NIRS) mesure les variations d’oxygénation du sang et l’électroencéphalographie (EEG) l’activité électrique au niveau du cuir chevelu. Contrairement aux autres techniques d’imagerie cérébrale, celles-ci peuvent être portables, peu couteuses, à haute résolution temporelle, et permettant d’imager tout le cortex en temps réel.

 

Ce projet a pour but de développer un système d’acquisition temps réel de signaux NIRS et EEG, non invasif, portable, communiquant avec un ordinateur par un lien sans fil, avec 32 canaux d’EEG, 32 sources et 32 détecteurs de lumière afin d’imager tout le cortex, aucun appareil existant ne rassemble tous ces critères.

 

 

MÉTHODOLOGIE:

 

Un prototype réduit à 8 canaux a été construit et validé sur quinze patients, lors de tests cognitifs qui ont permis d’observer l’activité neuronale induite sur les données acquises. Un prototype amélioré a été construit en fonction des commentaires des cliniciens.

 

 

RÉSULTATS:

 

Le prototype construit répond pleinement à ces attentes: comportant un casque pour maintenir sur le cuir chevelu l'ensemble des électrodes et octodes, le système est complètement portable et peut être porté à la ceinture. Aussi, il transmet à une interface usager l'ensemble des données issues des 128 canaux NIRS et 32 canaux EEG, ainsi que des modules supplémentaires comme un accéléromètre ou des circuits d'acquisition de canaux auxiliaires, utiles dans un contexte clinique. L'instrument a été validé sur une trentaine de sujets à ce jour, lors de tests cognitifs qui ont permis d’observer l’activité neuronale induite sur les données acquises, à travers le calcul des modifications hémodynamiques, semblables à celles décrites par la littérature.

 


 



LEPERCQ, Étienne

DIPLÔME: Ph.D.

 

TITRE:

 

Algorithme de routage pour l’utilisation et la mise au point d’une plateforme de prototypage rapide pour les systèmes électroniques : Le WaferBoardTM.

 

RÉSUMÉ:

 

Mes recherches prennent place au sein du projet DreamWafer™ et sont dédiées à la réalisation des premiers outils logiciels pour son utilisation, aujourd’hui principalement, un outil de routage des signaux entre les circuits intégrés formant le système en cours de conception.

 

PROBLÉMATIQUE:

 

L’utilisation du système de prototypage, le WaferBoard™, implique un nouveau flot de travail avec des contraintes nouvelles comparées aux systèmes actuels basés sur des PCB.  Ce flot de travail implique le routage de quelques millions de segments, la configuration de milliers de points de contact, au travers de plusieurs méga-octets de configuration.  Il est évident qu’un outil logiciel d’automatisation doit être réalisé, outil en cours de réalisation et segmenté en plusieurs sous-parties.  Deux étapes cruciales sont fonctionnelles, à savoir la reconnaissance de packages et le routage d’une netlist.

 

Il est également nécessaire de réaliser une interface utilisateur permettant de visualiser l’état interne du wafer (Nano PADs, circuits de configuration, liens, crossbars, etc.).  Cette interface pourra être étendue vers la réalisation de netlists, la définition de contraintes sur les nets ou d’autres choses encore.

 

MÉTHODOLOGIE:

 

La démarche choisie repose sur l’enchainement de trois étapes pour atteindre les objectifs fixés :

 

Ø  Revue de littérature sur les techniques existantes, sur les algorithmes de balancement de chemins, les architectures de réseaux d’interconnexions;

Ø  Reproduction du meilleur algorithme publié, en l’occurrence RCV pour les FPGA.  Cet algorithme étant incomplet face aux exigences plus importantes du système, une extension a été développée et pourra être utilisée dans d’autres domaines;

Ø  Un algorithme basé sur une table de référence pré calculée a été écrit, pour effectuer une recherche très rapide dans un sous ensemble de l’espace des solutions.

 

RÉSULTATS:

 

Un modèle de netlist a été construit et validé auprès de données industrielles réelles. Ces données proviennent d’un partenaire privilégié du projet (Hyper Chip) ainsi que le design d’OpenMoko.org disponible publiquement.  Un algorithme de routage adapté aux spécificités du projet a été écrit et caractérisé et un article de journal est en préparation. L’année 2010 a permis de mettre en place deux algorithmes d’équilibrage des délais entre différents signaux, dont les résultats sont en cours d’extraction, pour une soumission à DAC 2011.

Un outil de génération de netlist a été étendu pour supporter des contraintes d’équilibrage : les deux algorithmes écrits sont aujourd’hui capable de les router, bien que certaines contraintes très fortes soient hors de portée des algorithmes actuels.  Cependant, les travaux réalisés démontrent que cette problématique peut être résolue pour des netlists de densité faible à moyenne (5-15%) avec une balance de l’ordre de 3 fois le minimum atteignable par le système, sans aucune violation.  Des violations apparaissent lorsque la densité et les contraintes se renforcent, mais l’adjonction du deuxième algorithme réduit d’un ordre de grandeur le nombre de violations et réduit d’un facteur 2 à 3 les temps de calcul.


 

 

LEREBOURS Jonas

DIPLÔME: M.Sc.A.

 

 

 

 

TITRE:

 

Repérage de contenu numérique à haute vitesse optimisé sur plateforme GPGPU

 

 

 

RÉSUMÉ:

 

Le but de ce projet est de proposer une implémentation optimisée d’un algorithme de recherche de contenu numérique à très haute vitesse sur une plateforme composée de GPGPU, dans différentes applications.

 

 

 

PROBLÉMATIQUE:

 

La diffusion de documents est rendue très simple et rapide par les évolutions des réseaux de communication. On rencontre de plus en plus de fuites d’informations confidentielles, de copies illégales de données, de contenu dangereux, etc. Le repérage de données connues dans la multitude de flux qui nous relie peut dès lors devenir stratégique car il permet de tracer voire de contrôler les données les plus sensibles.

 

 

 

MÉTHODOLOGIE:

 

Un algorithme de repérage de données numériques à haute vitesse a été proposé par Jean-Pierre David. Il s’agit ici de l’adapter pour fonctionner sur les processeurs graphiques de GPGPU et de l’optimiser pour atteindre de très hautes performances, dans différents contextes.

 

 

 

RÉSULTATS:

 

Aucun résultat n’a été obtenu à ce jour.

 


 

LI, MENG                                                                                                            DIPLÔME:Ph.D.

 

 

TITRE:

 

 

Amélioration de la tolérance aux pannes et redondance de gestion dans les réseaux AFDX essentiels à la sécurité.

 

 

RÉSUMÉ:

 

 

La motivation de cette recherche vise à proposer des améliorations basées sur l’analyse de l’architecture AFDX présent, mettre en avant de nouveaux algorithmes et d’améliorer la fiabilité des réseaux AFDX pour atteindre un niveau de fiabilité suffisant pour les applications aérospatiales. En outre, une attention particulière sera accordée à la gestion de la redondance.

 

 

PROBLÉMATIQUE:

 

 

Dans les réseaux AFDX, un mécanisme de redondance est appliqué pour améliorer la tolérance aux pannes du système. Toutefois, ce mécanisme est insuffisant pour atteindre la tolérance aux pannes nécessaires pour les applications aérospatiales. Plus de mécanismes doivent être explorés afin de coopérer avec les réseaux redondants. En outre, il existe toujours une source de non-déterminisme dans les réseaux AFDX en ce qui concerne la date d’arrivée des paquets. À cause de ce non-déterminisme, la détection en temps réel de fautes spécifiées dans l’end system de réception est plus complexe. Également, les trames de chaque lien virtuel sont dupliquées, Par conséquent, la gestion de la redondance doit être étudiée. Il reste beaucoup de travail à faire en ce qui concerne la gestion de ces liens virtuels redondants.

 

 

MÉTHODOLOGIE:

 

 

Le projet de recherche compte quatre phases principales :

 

Ø  Phase I:       Effectuer la modélisation des fonctions et de la fiabilité des réseaux AFDX;

Ø Phase II:      Exécuter la détection de panne et améliorer la tolérance aux pannes dans les réseaux AFDX;

Ø  Phase III:    Effectuer la planification et la gestion de la redondance dans le conteste de AFDX;

Ø  Phase IV:    Analyser les performances du réseau sur les plates-formes expérimentales avec les stratégies développées. L’injection de fautes et évaluation de la fiabilité seront étudiées et mises en œuvre à ce stade.

 

 

RÉSULTATS:

 

 

Les résultats préliminaires de cette recherche se situent principalement sur :

Ø  Un système de simulation d’un réseau AFDX a été réalisé;

Ø  Un modèle de fiabilité d’un réseau AFDX basé sur la méthode des arbres défaillants (FTA) a aussi été réalisé;

Ø  Un mécanisme basé sur l’insertion de trame a été proposé pour permettre la détection en temps réel des pannes dans le end system de réception, améliorant ainsi le déterminisme du réseau;

Ø  Une stratégie d’agrégation des sous-liens virtuels en un lien virtuel est développée dans le but d’atténuer l’augmentation de la charge du réseau due à l’insertion de trame.

 

 

Li, Nan                                                                                                                  DiplômePh.D.

 

Titre:

Système de compression de signaux neuronaux avec la technologie de détection des pointes en CMOS et théorie de l’acquisition comprimée

 

Résumé:

Ma recherche est principalement de développer une puce CMOS de faible consommation d'énergie, à haut rendement et haute fiabilité, pour la compression de signaux neuronaux, qui utilise la détection de pointes neuronales et la technologie d’acquisition comprimée.

 

Problématique:

Actuellement, avec le développement de la microélectronique et des technologies de nanostructures, les scientifiques peuvent combiner des milliers d'électrodes dans un système d'enregistrement implantable, ce qui augmente la quantité de données enregistrées. Cependant, due à la consommation de puissance élevée et à la grande taille des appareils qui peuvent endommager les neurones, les émetteurs-récepteurs sans fil existants implantables n'ont pas la capacité de transmettre une grande quantité de données enregistrées à cause de ces limites de puissance et ces dimensions de systèmes implantés. Par conséquent, il est nécessaire de réaliser sur puce une compression de données pour un système d'enregistrement de neurones implantable.

 

Méthodologie:

Pour résoudre ce problème, nous proposons un système de compression de signaux des neurones qui utilisent la technologie de détection de pointes et la théorie d’acquisition comprimée. La première étape de notre travail est de concevoir un bloc de détection de crêtes qui est mis en œuvre sur la base de la méthode du seuil adaptatif. Aussi, nous allons concevoir un bloc de compression de pointes qui est basé sur la théorie de l’acquisition comprimée. Enfin, nous allons intégrer les deux parties ensemble dans une puce de traitement numérique du signal (DSP). On utilisera la technologie d’intégration à très grande échelle (VLSI) et faible puissance pour les implémentations des blocs. La simulation sera effectuée en Matlab, Modelsim, FPGA, etc., et la puce DSP intégrée sera fabriquée.

 

Résultats:

Nous avons déjà réalisé un système de détection de crêtes, appelée modified maximum and minimum spread (mMMS) estimateur. Cette méthode est conçue pour la simplification de la méthode de maximum et minimum spread (MMS), ce qui permettra de réduire la consommation d'énergie et la taille du système mis en place. Par conséquent, l'estimateur mMMS est meilleur que l'estimateur MMS.


 

 

MASSICOTTE, Geneviève                                              DIPLÔME: M.Sc.A.

 

 

TITRE:

 

 

Potentiostat intégré à  basse consommation et dédié à un laboratoire-sur-puce pour la détection et quantification de neurotransmetteurs.

 

 

RÉSUMÉ:

 

 

Dans ce projet, nous proposons un Potentiostat (capteur électrochimique) intégré avec une technologie CMOS 0.13µm dédié à la détection des neurotransmetteurs. Le design proposé offre l'avantage d'offrir une large plage dynamique de courant d'entrée et une basse consommation de puissance.

 

 

PROBLÉMATIQUE:

 

 

La communication neuronale par stimulation chimique est au cœur des processus neurologiques du cerveau humain. Ce phénomène intervient par un échange de molécules distinctes, appelé neurotransmetteurs, à la surface membranaire des neurones. L’enregistrement de cette activité à différents endroits dans le cortex cérébral fournirait les données nécessaires à une compréhension neurophysiologique avancée du cerveau humain et à ses pathologies neurodégénératives. Afin de fournir un outil aux chercheurs du domaine médical intéressé par ces enjeux, Polystim  développe actuellement un laboratoire sur puce (LoC) dont l’objectif est d’explorer, analyser et superviser l’activité des neurotransmetteurs au niveau du cortex cérébral.

L’objectif principal de ce projet consiste à concevoir la partie détection du dispositif LoC afin de détecter et quantifier plusieurs neurotransmetteurs simultanément. Pour ce faire, la conception d’un Potentiostat intégré, soit un capteur électrochimique, est réalisé. Ce capteur doit répondre aux comportements physiologiques des neurotransmetteurs tout en consommant le moins de puissance possible, puisque les implants cérébraux sont soumis à de fortes contraintes en terme de puissance. Nous proposons une nouvelle architecture microélectronique permettant une faible consommation de puissance et une large plage dynamique. Le prototype est réalisé sur puce avec une technologie 0.13µm CMOS. La deuxième partie du projet implique la conception d’électrodes de mesure sélectives à la dopamine et au glutamate afin de démontrer la validité du prototype conçu. Enfin, l’intégration finale du capteur dans le LoC sera réalisée.

 

 

MÉTHODOLOGIE:

 

 

Dans un premier temps, les spécifications du capteur ont été définies en fonction du comportement physiologique des neurotransmetteurs du cerveau humain, ainsi que des caractéristiques du laboratoire-sur-puce. Par la suite, un design basé sur des mesures de temps a été proposé et des simulations avec la technologie CMOS 0.13µm ont été effectuées, afin de valider le concept. Enfin, le design a été implémenté sur puce.

 

 

RÉSULTATS:

 

 

Les résultats de simulation post-lay-out démontrent une plage d'entrée dynamique de plus de 94dB, incluant la détection de courant allant du pA au µA. La consommation de puissance varie de 13 µW à 56 µW, pour une fréquence d'échantillonnage minimale de 1.25 kHz. Les tests de la puce fabriquée sont en cours. 


 

MEHRI-DEHNAVI, Marzieh                                                                                                       DIPLÔME: M.Sc.A.

 

 

TITRE:

 

Circuits analogiques pour la compensation du courant de noirceur des capteurs d’image CMOS

 

 

RÉSUMÉ:

 

Ce projet propose d’améliorer la qualité des capteurs d’images numériques par la méthode d’échantillonnage différentiel d’indemnisation de courant de noirceur du pixel actif CMOS. L’objectif est de concevoir un circuit de pixel ayant un encombrement réduit pour maintenir un facteur de remplissage raisonnable pour un pixel de petite taille. Le circuit de pixel est lié à un circuit de lecture de colonne afin de copier la réponse en tension de photo détecteur sur le pixel et sur la colonne pendant la séquence de lecture de pixel, sans modifier le signal. Un prototype de réseau de capteurs sera fabriqué pour prouver la fonctionnalité du circuit.

 

 

PROBLÉMATIQUE:

 

L’objectif principal de ce projet et de concevoir le circuit de commande assurant de traiter les signaux analogiques d’une matrice de pixels et de fournir une méthode pour la détection de mode de la réponse du capteur d’image. Comme le courant de noirceur limite considérablement les performances d’un capteur d’image en terme de dynamique et de sensibilité, la nouvelle architecture CMOS APS réduit et compense l’efficacité de courant de noirceur en ayant une bonne gamme dynamique et une excellente linéarité.

 

 

MÉTHODOLOGIE:

 

Afin de réduire l’impact du courant de noirceur qui réduit la sensibilité à la lumière, nous proposons une nouvelle architecture d’amplificateur différentiel multiple. Cette configuration est constituée de cellules fictives blindées qui sont situées au fond de la matrice de pixel principale. Le signal de ces pixels fictifs est soustrait du signal de sortie de chaque pixel au moyen d’un échantillonnage différentiel. Il fournit le capteur d’image avec une excellente linéarité et une très bonne dynamique. Le procédé technologique utilisé pour ce travail est de nationalité autrichienne Micro System CMOS AMS 0.35µm.

 

 

RÉSULTATS:

 

Ce projet a début à l’hiver 2012. La simulation est actuellement effectuée à l’aide de la technologie CMOS AMS 0.35µm et dessin des masques des circuits sera soumis à la CMC pour la fabrication.


 


MENDEZ, Arnaldo

DIPLÔME: Ph.D.

 

TITRE:

Méthode efficace pour le monitorage du volume de la vessie chez les patients paraplégiques.

 

RÉSUMÉ:

L’objectif général de ce projet est de proposer et mettre en œuvre une méthode efficace de contrôle de volume de la vessie chez les patients paraplégiques souffrant de dysfonctions urinaires principalement causées par la SCI, dans le but d’approvisionner le neurostimulateur avec le retour d’information nécessaire pour restaurer les fonctions de la vessie de façon sécuritaire et efficace.

 

PROBLÉMATIQUE:

Déterminer les spécifications cibles à l’aide de la méthode QFD (Quality Function Deployment).  Établir si une méthode basée sur des capteurs artificiels ou sur des capteurs naturels devraient être utilisés pour la surveillance.  Proposer et mettre en œuvre la méthode sélectionnée chez les animaux tout en respectant les normes bioéthiques.  Valider la méthode proposée.  Protéger et publier nos contributions. Les patients souffrant du syndrome d’hyperactivité vésicale est un sujet avec un intérêt majeur car il est présent pour plusieurs personnes de par le monde entier.  Par exemple, aux États-Unis, il est estimé à 34 millions, le nombre de patients ayant une vessie hyperactive, ce qui coute environ 19,5 milliards de dollars par année.  La prévalence de l’incontinence urinaire chez la population au Canada en 1997 était de 2,5% chez les femmes et 1.4% chez les hommes.  Les nombre de patients canadiens vivant avec le SCI, une de principales causes  de disfonctionnement urinaire est de 40,000.  Il est important de considérer l’aspect humain de la maladie et l’incapacité en raison de la stigmation, l’isolement, la perte de l’estime de soi et la dépression.  La recherche actuelle vise à trouver une solution au problème non résolu qui permettra d’améliorer la performance des dispositifs de neurostimulation en considérant les conditions particulières des patients et permettra de réduire les effets traumatiques secondaires.  Les résultats de cette recherche contribueront à améliorer la qualité et l’espérance de vie et à réduire les couts élevés de soins des patients avec dysfonctions urinaires.

 

MÉTHODOLOGIE:

Lorsque les principales fonctions de stockage et de miction de la vessie échouent par suite de traumatisme médullaires (SCI) ou d’autres maladies neurales, des complications graves de la santé du patient et une détérioration continue de sa qualité de vie se produisent.  Aujourd’hui, il est possible de restaurer partiellement les fonctions de la vessie pour certains de ces patients en utilisant des dispositifs neurostimulateur implantables.  Pour restaurer ces fonctions de façon sécuritaire et efficace dans les applications chroniques, il est nécessaire d’appliquer les stimuli électriques pour la détection du volume de la vessie, uniquement lorsque la restauration est nécessaire et non en permanence comme cela est fait présentement.  De cette manière, une stimulation neurale conditionnelle peut être efficacement réalisable dans une boucle fermée, ce qui réduit les stimulations inutiles de la vessie.  Une telle approche permet de diminuer les effets secondaires nocifs produits par l’électrostimulation continue et d’épargner la réserve d’énergie toujours limitée.  Malgré plusieurs tentatives dans les années passées, un dispositif fiable, précis et robuste pour implémenter la rétroaction du neurostimulateur avec des informations de volume de la vessie, demeure comme un besoin non résolu, principalement causé par la complexité de la physiologie, de l’anatomie de la vessie et du système nerveux ainsi que par les limitations techniques dans les technologies disponibles présentement pour la surveillance des variables biologiques en utilisant les dispositifs implantables.

 

RÉSULTATS:

Après avoir analysé et évalué chacune des méthodes de mesure utilisées dans les études faites par les années dernières, nous avons conclu que l'enregistrement et traitement des signaux produit pour des capteurs naturels (mécano-capteurs) sensibles au volume dans la vessie, était l’approche optimale pour déterminer le volume de la vessie dans les applications chroniques. La révision, l’analyse et l’évaluation de ces méthodes ont été résumées dans un article qui a été publié dans le Journal canadien d’urologie. Des expériences menées sur des animaux (rats) avec la collaboration des experts réputés internationalement ont permis confirmer des hypothèses préliminaires. On a pu démontrer qu’il est possible de déterminer l’état de remplissage de la vessie à partir des signaux neuronaux afférents contenant des informations sensorielles. On a trouvé et validé des méthodes de traitement de signaux (décodage de l’activité neuronal afférent) qui permettent déterminer avec une exactitude très bonne le volume de la vessie en temps réel.



MILED, Mohamed Amine

                        DIPLÔME: Ph.D.

 

 

 

TITRE:

 

Laboratoire sur puce implantable au cerveau dédié à la détection et à la séparation des neurotransmetteurs.

 

 

RÉSUMÉ:

 

Les neurotransmetteurs représentent la base de la communication inter-neuronales et la pièce maitresse de tout système nerveux. Une défaillance de ces derniers engendre un dysfonctionnement du système nerveux et de la transmission de l’information dans le cerveau. Les nanotechnologies nous permettent d’explorer des nouvelles voies pour traiter ce type de dysfonctions. Ce système serait en mesure de non seulement détecter l’activité neuronale mais aussi de pouvoir la modifier. C'est une combinaison de circuits micro-électroniques, de microélectrodes, d’un circuit de contrôle et de commande et des composantes micros fluidiques et chimiques. Une fois le système développé, une architecture matricielle sera mise en œuvre en vue d’être implémentée dans un milieu neuronal au niveau du cortex cérébral.

 

 

PROBLÉMATIQUE:

 

L'objectif de la recherche est de développer une Micro-Nano puce implantable pour suivre l'évolution des neurotransmetteurs au niveau cortical. Pour y parvenir nous procédons à la réalisation d'un dispositif basé sur un Laboratoire-sur-puce qui servira à échantillonner des neurotransmetteurs au niveau des connexions neuronales et de l'analyser. Nous prévoyons ensuite proposer une méthode de séparation et de détection de ces neurotransmetteurs cibles.

 

 

MÉTHODOLOGIE:

 

Le projet se décompose en plusieurs parties qui sont :

 

Ø  Étude et simulation du système avec le logiciel d'analyse à éléments finis ANSYS

Ø  Proposition d’une architecture micro fluidique

Ø  Mise en œuvre d’une puce microélectronique en utilisant la technologie CMOS.

Ø  Assemblage de l’ensemble des puces.

Ø  Test et validation du système.

 

 

RÉSULTATS:

 

Un premier prototype a été déjà conçu et implémenté sur une première plateforme de prototypage.  Une autre carte électronique a été élaborée pour générer les signaux électriques. Tout le système a été assemblé et connecté. Les premiers résultats sont concluants et une séparation du liquide injecté dans les micros canaux a été réussie. La partie de détection a été basée sur un capteur capacitif commercial. Une première série de mesures nous a permis de détecter une variation de 1pF lors de l'injection du liquide dans les micros canaux.

 

Une autre version du laboratoire sur puce a été assemblée en adoptant une approche 3D regroupant des modules micro fluidiques, microélectroniques, et composants discrets. Des tests in vitro ont montré qu’il nous est possible d’attribuer une identité fréquentielle propre à chaque particule dans une solution artificielle du liquide céphalorachidien avec le dispositif développé.


 

MIRZAEI, Marjan                                                                                              DIPLÔME: M.Sc.A

 

 

TITRE:

 

Conception et fabrication d'un dispositif implantable pour la détection de foyers épileptiques.

 

RÉSUMÉ:

 

Environ 1% de la population mondiale souffre d'épilepsie. L’épilepsie est un trouble neurologique chronique qui provoque une crise récurrente et consistant en une décharge anormale des neurones corticaux. Les crises d'épilepsie peuvent être identifiées par un électroencéphalogramme (EEG) et peuvent être classées en deux catégories principales, des crises focales (partielles) et généralisées. Les crises focales se produisent dans le cerveau au niveau local et sont diagnostiquées par quelques canaux de l’EEG alors que les crises généralisées sont perçues dans tous les canaux de l’EEG. Récemment, il y a un intérêt croissant pour développer des dispositifs totalement implantables qui fournissent un traitement approprié pour les patients qui ne sont pas de bons candidats pour la chirurgie et sont réfractaires aux médicaments antiépileptiques. Dans ce projet, l'objectif est la conception d'un détecteur de début de crises, implantable et de faible puissance pour le diagnostic automatisé de l'épilepsie dans une boucle fermée de neurostimulateur.

 

PROBLÉMATIQUE:

 

Ce projet concerne un dispositif intégré implantable asynchrone basé sur la technologie CMOS qui détecte automatiquement le début de crises d’épilepsie. En reconnaissant des crises partielles, il peut améliorer le traitement de l’épilepsie. Le détecteur à faible puissance permet d'extraire l’information de début de crises à partir des signaux neuronaux et observe les signaux sur une période de temps afin de capturer les évènements de la crise. Le dispositif se compose de parties analogiques et numériques tout en utilisant une horloge commune. Toutefois, cette puce asynchrone a la promesse de réduire la consommation d'énergie tout en augmentant la complexité de la conception de circuits et des tests.

 

MÉTHODOLOGIE:

 

Basé sur notre stratégie, un algorithme asynchrone est utilisé pour fournir une faible consommation électrique comparé à d’autres méthodes. Pour économiser du temps et de l’argent, la première version du détecteur de crise est désignée et testée sur un circuit imprimé (PCB) avec des composants discrets. Bien que la performance du PCB peut être affectée par le bruit il peut valider les performances de l’algorithme proposé. Le détecteur de début de crises est remanié en technologie CMOS 0.13 µm pour réduire la consommation d'énergie et la taille de l’appareil. Dans cette étape, plusieurs études de cas et analyses des données sont faites pour éviter toute fausse alarme. Enfin, le dispositif fabriqué est testé en utilisant des enregistrements intracérébraux électro-encéphalographiques de patients épileptiques qui comprennent des signaux normaux du cerveau, des pointes épileptiques inter critiques et de brèves crises électriques.

 

RÉSULTATS:

 

Le PCB du détecteur de crises asynchrone a été conçu et testé avec plusieurs études de cas en différé. La puce intégrée a été conçue dans une technologie de CMOS 0.13 µm et un banc d’essai a été réalisé pour générer tous les signaux nécessaires à la validation fonctionnelle et à la caractérisation électrique (consommation d'énergie, facteur de bruit etc.) de la puce fabriquée. Enfin, plusieurs tests ont été faits avec des enregistrements intracérébraux électroencéphalographiques de différents patients et le système proposé a réalisé une performance de détection précise avec une sensibilité de 100%. Le délai de détection moyen était de 13,7 s après le début de la crise, bien avant l'apparition des manifestations cliniques, et une consommation d'énergie de 9 µW a été obtenue à partir d'essais expérimentaux.


 


MONTEIRO, Fellipe 

DIPLÔME: M.Sc.A

 

TITRE:

 

Automatisation du processus de caractérisation de la consommation de puissance pour l’estimation au niveau modèle transactionnel.

 

 

RÉSUMÉ:

 

Ce travail propose une méthodologie capable de caractériser automatiquement la consommation de puissance des processeurs configurable de type « soft-processors » et de générer un modèle efficace pour l’estimation de l’énergie consommée au niveau système. À l'aide de ce modèle, une étude comparative entre trois techniques d’estimation est présentée.

 

 

PROBLÉMATIQUE:

 

De nos jours, la consommation de puissance est une contrainte clé et une métrique de performance essentielle lors du design des systèmes numériques. La dissipation de chaleur excessive sur les circuits intégrés diminue leurs performances. Également, plus que jamais, nous avons le besoin d’augmenter le temps de vie des batteries de produits électroniques portables. Avec les techniques de design classiques, RTL («Register Tranfer Level»), une estimation de puissance  précise est  possible seulement aux dernières étapes du processus de développement. Pour remédier à cette problématique, on a récemment proposé dans la littérature de hausser le niveau d’abstraction de la conception de systèmes embarqués à l’aide de méthodologie de niveau système: «Electronic System Level» (ESL). Dans cette perspective, ce travail propose une méthodologie pour permettre l’estimation de puissance des processeurs au niveau système.

 

 

MÉTHODOLOGIE:

 

Les étapes à suivre sont les suivantes

 

Ø  Établir un moyen d’automatiser le processus de caractérisation des processeurs configurable de type « soft-processors ». Ce processus existe déjà, toutefois il est essentiellement manuel.

Ø  Implémenter l’automatisation du processus.

Ø  Évaluer la précision de la caractérisation obtenue en ayant comme modèle de référence la consommation donnée par l’outil de Xilinx, Xpower.

 

 

RÉSULTATS:

 

Les résultats de cinq programmes tests montrent une estimation de puissance 8000 fois plus rapide que les techniques d’estimation conventionnelles et une erreur quadratique moyenne de seulement 3.98 % pour le processeur LEON3 et de 10.69 % pour le processeur Microblaze.

 

 


 



 MORADI, Arash

DIPLÔME: Ph.D.

 

TITRE:

 

Émetteur de faible puissance et haut débit de données dédié aux microsystèmes biomédicaux implantables.

 

RÉSUMÉ:

 

Dans cette thèse, la voie de transmission d’un émetteur-récepteur sans fil  de fréquence radio est mise en œuvre pour l’interface sans fil de capteurs biomédicaux avec une consommation d’énergie ultra faible.  Un nouveau schéma de modulation Frequency Shift Keying (FSK) est proposé et mis en oeuvre utilisant les Technologies CMOS 90nm de TSMC et de 130 nm d’IBM de réduire la consommation d’énergie et d’améliorer le début de données.  L’émetteur RF opère en Amérique du Nord entre 902-928 MHz Bande ISM tout en offrant un niveau élevé et raisonnable de débit de données pour transmettre des données à un récepteur externe sur une courte distance. L’émetteur est ciblé pour consommer un courant très faible tiré à partir d’une tension d’alimentation de 1.2V.

 

PROBLÉMATIQUE:

 

Le comportement du corps de certains patients atteints de maladies spécifiques du cerveau, tel que l’épilepsie, a besoin d’être surveillés en permanence. Pour ce faire, un réseau de capteurs est nécessaire pour détecter et produire les données associées. Les données générées doivent être transmises à un récepteur externe pour une analyse ultérieure. En effet, en utilisant de tels capteurs, les patients n’ont pas besoin d’être connectés à une machine pendant une longue période. Les émetteurs-récepteurs RF comme la partie communication des réseaux de capteurs sans fil sont nécessaires dans de nombreuses applications biomédicales comportant des dispositifs implantables.  Ces dispositifs implantés doivent consommer une très faible puissance, tout en maintenant un haut débit de données de communication, sinon, la batterie de ces appareils doit être rechargée fréquemments dans des cabinets médicaux.  En général, l’objectif est de maintenir l’émetteur-récepteur implantable aussi simple et d’aussi faible puissance que possible.  Par contre, le récepteur externe peut être plus compliqué.

 

MÉTHODOLOGIE:

 

Dans cette recherche, nous proposons de concevoir et de mettre en oeuvre un émetteur-récepteur RF à ultra basse puissance pour l’interface sans fil de capteurs biomédicaux.  L’émetteur-récepteur cible doit également répondre à d’autres spécifications, telles que l’intégrabilité à faible coût et sa simplicité.  Utiliser la technique proposée pour moduler la transmission de données permettra de réduire la consommation électrique totale tout en fournissant un débit de données élevé. Mettre en oeuvre la conception nanométrique utilisant le procédé CMOS ainsi que la conception technique du circuit analogique permet d’atteindre les performances souhaitées. En utilisant des composants passifs pour mettre en oeuvre la voie de transmission permet également de consommer une très faible puissance. En outre, une partie de la recherche sera concentrée sur les blocs gourmands en énergie et en essayant de les mettre en oeuvre avec de nouvelles techniques de conception de circuits.

 

RÉSULTATS:

 

La section émetteur de faible puissance et de données à haut débit sans fil dans l’émetteur-récepteur de fonctionnement 902-928 MHz a été conçue et mise en oeuvre en 90nm et 130 nm. Les avantages de l’utilisation de l’émetteur de faible pussance des composants et la technique de modulation de fréquence proposée a permis de réduire la consommation électrique totale tout en augmentant le débit de données. En comparant à la conception de l’année dernier, quelques modifications au niveau circuit ont été appliquées pour améliorer le comportement de l’émetteur et l’émetteur est capable de travailler en deux modes différents. Le nouvel émetteur conçu sera mesuré et vérifié. La cible est encore à venir avec un émetteur de consommer moins de 1 nW offrant un débit de données plus de 1 Mbps.


 

MOUNAIM, Fayçal

DIPLÔME: Ph.D.

 

TITRE:

Neurostimulateur hautement intégré et nouvelle stratégie de stimulation pour améliorer la miction chez les paraplégiques.

 

RÉSUMÉ:

La lésion de la moelle épinière (Spinal Cord Injury SCI) est l’un des problèmes les plus dévastateurs médicalement et socialement.  Selon l’Association Canadienne des Paraplégiques, près de 36,000 canadiens vivent avec une SCI.  À la suite d’une SCI, il se produit une perte du contrôle sensoriel et moteur qui entraine divers degrés de dysfonctionnement du bas appareil urinaire.

 

PROBLÉMATIQUE:

 

La stimulation électrique des nerfs sacrés se trouvant en dessous du niveau de la lésion constitue une approche prometteuse pour la réhabilitation des fonctions vésicales. Toutefois, pour déclencher une miction (évacuation d'urine) volontaire, l'efficacité d'une telle stimulation dépend grandement de sa capacité à contracter la vessie sans induire une contraction dysnergique du sphincter de l'urètre. A ce jour, le seul neurostimulateur implantable ciblant la miction et ayant été commercialisé nécessite une rhizotomie (section de nerfs) irréversible et non souhaitable pour la préservation des réflexes et des sensations urinaires et sexuelles s'ils sont encore présents.

 

MÉTHODOLOGIE:

 

Ø  Prototypes implantables discrets:

Réaliser et tester des prototypes de neurostimulateurs implantables à l’aide de composants commerciaux.

Ø  Nouvelles méthodes de neurostimulation et de surveillance du système urinaire:

Proposer et évaluer lors d’expériences animales aigües de nouvelles méthodes de neurostimulation et de surveillance permettant d’augmenter l’efficacité de l’évacuation de l’urine.

Ø  Intégration sur puce:

Réaliser et tester des circuits intégrés comprenant des sous-systèmes de neurostimulateur (excluant la partie numérique) et permettant de démontrer la possibilité d’une intégration totale.  En particulier, l’étage de récupération de l’énergie inductive pourra être intégré dans une technologie haute-tension.  Celle-ci permettra de proposer et d’évaluer une nouvelle approche pour fournir les différentes alimentations nécessaires tout en maximisant l’efficacité énergétique.

Ø  Neurostimulateur implantable hautement intégré:

Développer et caractériser un neurostimulateur complet basé sur les circuits intégrés réalisés.  Il s’agit également de valider sa capacité à mettre en œuvre la nouvelle stratégie de neurostimulation proposée.

 

RÉSULTATS:

 

Nous avons proposé une nouvelle stratégie de neurostimulation basée sur le blocage de la conduction du nerf en utilisant une stimulation à haute-fréquence comme alternative à la rhizotomie.  Jusqu'a maintenant, la réalisation de prototypes effectivement implantables en utilisant des composants discrets disponibles commercialement, a permis de tester les précédentes stratégies de stimulation lors d'expériences animales chroniques. Cependant, une plus grande intégration du système s'avère de plus en plus nécessaire pour la miniaturisation de l'implant, pour réduire la consommation de puissance, pour implémenter de nouvelles fonctionnalités telle que la télémétrie, et pour augmenter le nombre de canaux de stimulation requis par la nouvelle stratégie de stimulation. Nous proposons donc également une nouvelle architecture de neurostimulateur associée à cette stratégie. Nos derniers travaux d'intégration ont porté sur l'étage de récupération d'énergie inductive, incluant redressement, régulation et conversion DC-DC dans une technologie CMOS Haute-tension (20 V).

MOURET, Geoffroy                                                                                         DIPLÔME: M.Sc.A.

 

 

TITRE:

 

Approche statistique de l’économie d’énergie pour animât.

 

 

RÉSUMÉ:

 

L'Ecole Polytechnique de Montréal dispose de plusieurs unités mobiles intelligentes (animât) équipées de capteurs (infrarouges, accéléromètres, intensité lumineuse, intensité électrique...) leur permettant de percevoir leur environnement interne comme externe. Les applications décès mini-drones sont nombreuses: établissement d'un réseau de communication d'urgence, cartographie d'un environnement inconnu, recherche de survivants lors de catastrophes naturelles, poursuite d'individus, etc. Les robots doivent en permanence calculer, communiquer, analyser et ce, au détriment de leurs réserves en énergie. L'idée ici est de déterminer les ressources superflues pour la tâche en cours à l'aide de méthodes statistiques de sélection de variable.

 

 

PROBLÉMATIQUE:

 

Les algorithmes de «machine learning» actuels sont bien souvent empiriques et dans certains cas, sans justifications théoriques. Dans le cas des recherches en statistiques, on observe le phénomène inverse avec des algorithmes bénéficiant d'arguments mathématiques solides mais déconnectés des problématiques physiques de certains problèmes. On souhaite développer certaines de ces méthodes et les valider en utilisant les animât comme plate-forme de test pour comparer leurs performances vis-à-vis des approches plus classiques du domaine.

 

 

MÉTHODOLOGIE:

 

La première partie du projet sera menée cet été en partenariat avec le professeur Partovinia du département de génie industriel et de mathématiques appliquées. On prévoit effectuer: la généralisation de la pénalisation de type «Elastic Net» pour la sélection de variables (présentation acceptée au Joint Statiscal Meeting de Montréal en août 2013) et, travailler sur les mélanges de régression de lois binomiales négatives et gaussiennes en partenariat avec l'université McGill en vue de l'implémentation d'un package pour le langage de programmation statistique R. La deuxième partie consistera à implémenter les résultats de la première partie sur les données obtenues lors de la navigation des animât pour valider leurs performances sur des données réelles.

 

 

RÉSULTATS:

 

Nous sommes capables de trouver les paramètres de pénalisation optimaux de la régression «Elastic Net» pour les cas particuliers des régressions sur les valeurs estimées ou sur les paramètres en utilisant une approche bayésienne pour la norme quadratique, ce qui nous permettra d'optimiser la régression «Elastic Net» généralisée. Ces résultats sont encourageants mais doivent encore être testés sur des données réelles.


 

NABOVATI, Ghazal                                                                                         DIPLÔME: Ph.D.

 

 

TITRE:

 

 

Biocapteur sur puce implantable pour la surveillance de la taille de tumeurs

 

 

RÉSUMÉ:

 

 

Dans ce projet, nous développons un réseau de biocapteur pour surveillance des cellules avec haute résolution et précision. Chaque pixel est constitué d'un capteur de pH. Le circuit intégré est constitué d’un système de télémétrie qui envoie les données à l'extérieur du corps du patient. Une interface est aussi conçue pour envoyer les données à l'ordinateur pour le stockage et analyses subéséquentes.

 

 

PROBLÉMATIQUE:

 

 

Malgré de grands progrès au niveau de l’instrumentation biomédicale, il n'existe pas d'outil pour la surveillance continue des activités de la croissance tumorale. Un réseau de capteurs bio-implantable peut offrir plusieurs avantages pour détecter les facteurs biologiques (par exemple la prolifération) ou chimiques (par exemple le pH ou le taux d’oxygène) pour l'étude du cancer, et aussi pour contrôler la chimiothérapie du cancer. Ce projet conduit à un nouvel outil et un protocole pour une meilleure compréhension de la biologie du cancer et amélioration de chimiothérapie.

 

 

MÉTHODOLOGIE:

 

 

La mise en œuvre de ce projet de recherche sera divisée en trois phases principales:

 

Ø  Conception des capteurs microélectroniques (interface de lecture, convertisseur analogique numérique)

Ø  Microfluidique et l'emballage

Ø  Conception et mise en œuvre d'un système de télémétrie sans fil

 

 

RÉSULTATS :

 

 

Après la fabrication de réseau de l’ISFET, la puce sera testée chimiquement et la variation du pH sera mesurée par l'interface de lecture. Les résultats seront envoyés à l’ordinateur. Par la suite, un test biologique sera fait pour le suivi des populations de cellules


 

NAJAPOUR FOROUSHANI, Armin                                                                                             DIPLÔME: M.Sc.A.

 

 

TITRE:

 

Performance des systèmes basés sur le classificateur dégradé XCS avec opérateur de précision dans les problèmes d’animât

 

 

RÉSUMÉ:

 

Problème de l’animât, qui est le problème d'un agent qui tente de survivre dans un environnement de labyrinthe avec des récompenses distribuées sont considérés dans cette thèse. L’animât utilise des systèmes de classificateurs XCS qui permettent à son cerveau d’apprendre dans ce type d'environnements. La complexité de l'environnement dépend de la distribution des objets qui le composent et XCS sera modifié par l'ajout de nouveaux mécanismes pour être en mesure d'apprendre dans des environnements plus complexes.

 

 

PROBLÉMATIQUE:

 

L'environnement qui est considéré dans cette thèse est un environnement de labyrinthe composé d'objets alimentaires et d’obstacles nuisant à la collecte de ces récompenses. Donc, nous sommes soumis à un problème de l'apprentissage par renforcement. L'animât apprend à atteindre à la nourriture en explorant d'abord l'environnement et en apprenant à se procurer une nourriture aussi vite que possible. Deux capacités de base  des animâts sont la détection et l'action qui sont reliés par une architecture de commande qui donne la possibilité de comportement adaptatif à l'animât. Dans cette thèse, les environnements sont un labyrinthe où l’animât est entouré de huit cellules. Pour les animâts, huit détecteurs sont considérés pour les objets disponibles à chaque endroit.

 

 

MÉTHODOLOGIE:

 

La méthode qui est considérée est de concevoir l'architecture de contrôle de l’animât, pour lui permettre d’apprendre dans l'environnement pour trouver de la nourriture et survivre, basée sur le système classificateur XCS. XCS est un algorithme adaptatif qui est une combinaison de Q-apprentissage et d’un algorithme génétique qui inclut une population de classificateurs. Les classificateurs sont des règles sensori-action qui sont équipés de trois paramètres de prédiction, «erreur de prédiction» et «fitness». Chacun de ces paramètres est mis à jour en fonction de la récompense que l’animât reçoit. Les règles sont produites par le mécanisme de l'algorithme génétique. Pour certains types d'environnement, XCS ne parvient pas à apprendre à atteindre la nourriture aussi vite que possible. Pour résoudre ce problème, deux mécanismes sont ajoutés au mécanisme XCS: spécifier un opérateur comme algorithme génétique et un mécanisme de descente de gradient qui est utilisé dans la procédure de mise à jour.

 

 

RÉSULTATS:

 

Les résultats montrent que dans les environnements XCS woods 1 et woods 2 seul, l’animât fonctionne très bien et peut apprendre et le nombre d'étapes à la nourriture diminue et se stabilise après quelques itérations, mais dans des environnements plus complexes tels que maze5, la précision de l’opérateur et le mécanisme de descente de gradient sont nécessaires pour être permettre à l’animât d’apprendre.

.

OULD BACHIR, Tarek

DIPLÔME : Ph.D.

 

TITRE:

Opérateurs et engins de calcul en virgule flottante et applications à la simulation des systèmes électriques sur FPGA

RÉSUMÉ:

Les praticiens de la simulation en temps réel des systèmes électriques ont adopté les circuits reconfigurables de type FPGA à titre de processeurs dédiés à des fins de réduction du temps de calcul et de la latence. Cette thèse a pour ambition de contribuer aux domaines des opérateurs arithmétiques en virgule flottante, à celui des architectures matérielles de résolution des systèmes dquations linéaires et des systèmes dquations linéaires par morceaux, en conjonction avec les besoins stratégiques du domaine de la simulation en temps réel des réseaux électriques de façon efficace, en comblant les besoins stratégiques du domaine de la simulation des systèmes électriques. Elle a pour objectifs: 1. la conception et le développement d'opérateurs en virgule flottante à destination de dispositifs reprogrammables de type FPGA, i) opérateurs arithmétiques, ii) opérateurs arithmétiques spécialisés iii) opérateurs vectoriels et matriciels; 2. l’application de tels systèmes aux problèmes de la simulation temps-réel, 3. la conception et le développement d'interpréteurs permettant l'extraction d'une architecture matérielle à partir d'équations exprimées dans un langage de haut niveau.

PROBLÉMATIQUE:

Le domaine du calcul à haute performance nourrit un intérêt croissant pour les systèmes de calcul hybrides qui sont un composite de machines ordinées à base de processeurs à usage général combinés à des circuits reprogrammable servant de coprocesseurs spécialisés. L'accélération matérielle réalisée peut offrir un gain de performance conséquent aux calculs scientifiques si l'architecture déployée répond mieux aux exigences du calcul qu'un processeur à usage général. Les FPGA sont attrayants du fait de leur densité continuellement croissante et de la possibilité d'y réaliser des architectures arbitraires. Néanmoins, les opérateurs arithmétiques disponibles sur les FPGA sont principalement en représentation à virgule fixe, alors que de nombreux problèmes scientifiques exigent l'utilisation d’une représentation à virgule flottante, souvent en double précision. Le problème mathématique que nous désirons exécuter sur ces processeurs est celui de la simulation des systèmes électriques. Deux méthodes de formulation des équations de réseau sont considérées: 1) les équations d'état et 2) l'analyse nodale-modifiée-augmentée. L'implémentation matérielle d'opérateurs matriciels en virgule flottant implique plusieurs défis architecturaux au cout de nombreux compromis qu'il faut évaluer avec soin. Les paramètres à considérer sont : 1) la taille des ressources reconfigurables, 2) la bande passante sur les entrées et sorties et 3) la taille, la disponibilité, la proximité de la mémoire. Dans le cas d’une exécution en temps réel, le chemin de rétroaction du calcul, induit par le caractère itératif de la résolution des équations d’états, impose une contrainte supplémentaire sur la latence des opérateurs, particulièrement pour des systèmes de taille moyenne.

MÉTHODOLOGIE:

Les architectures matérielles à développer sont initialement évaluées à un niveau d'abstraction élevé au moyen d'outils de programmation tels que MATLAB pour être ensuite réalisées matériellement grâce aux outils de synthèse, placement et routage tels que System Generator de la suite de développement ISE du fabricant de FPGA Xilinx. Le prototypage de systèmes hybrides constitue finalement l'étape de validation des architectures ainsi proposées et leurs performances sont comparées à celles rapportées dans la littérature.

RÉSULTATS:

La thèse est actuellement en rédaction. Nous avons développé 1) une architecture de multiplieur-accumulateur en virgule flottante servant nos besoins dans le calcul du produit scalaire, 2) un module matériel de résolution d’équations d’états en temps réels a été réalisé, 3) un modèle d'interrupteur dit de circuit discret associé a été exploité pour simuler des circuits d'électronique de puissance et 4) un module de simulation en temps réel configuré à haut niveau d’'abstraction.

PONS Jean-François

DIPLÔME: M.Sc.A

 

 

TITRE:

 

 

Conception d'une plateforme d'animât à basse consommation de puissance utilisant des composants en logique asynchrone.

 

 

RÉSUMÉ:

 

 

Ces dernières décennies, les réseaux de capteurs sans-fil (WSN) ont été le sujet de plusieurs recherches, notamment car ces dispositifs sont de plus en plus abordables et que de grands constructeurs se regroupent pour pouvoir développer des produits compatibles entre eux, comme par exemple la ZigBee® Alliance. Dans un domaine a priori totalement différent, on constate l’apparition d’animât; sorte de robots généralement dotés de fonctionnalités qui leur permettent de réaliser des tâches simples comme suivre un leader, se disperser, découvrir un environnement, etc. Ce type de robots minimalement équipés au niveau de la mécanique, est nouvellement très étudié pour plusieurs raisons: premièrement, ils permettent d’avoir un support pour la compréhension des techniques d’apprentissage chez les êtres vivants. De plus, ils permettent d’expérimenter des algorithmes de coopération au sein d’une colonie dans un environnement réel, s’affranchissant ainsi des biais de modélisation.

 

Le but de cette recherche est donc de trouver comment la prise en compte de la minimisation d’énergie devrait influencer la conception d’animât, et ce, à différents niveaux de la conception. Notamment, l’utilisation de composants en logique asynchrone sera étudiée ainsi que son impact sur la consommation d’énergie totale du système.

 

 

PROBLÉMATIQUE:

 

 

La problématique est de concevoir une colonie d'animât soucieux de minimiser leur consommation d'énergie mais capables de proposer une plateforme suffisamment complète pour l'étude de l'impact de l'environnement et de sa perception dans l'apprentissage machine.

 

 

MÉTHODOLOGIE:

 

 

Pour cela, plusieurs aspects sont étudiés: tout d'abord l'architecture générale d'un animât et la structure nécessaire à son «évolution» (diverses variétés de capteurs et d'actuateurs devraient pouvoir être utilisées) sont prises en compte et détaillées. Ensuite, l'utilisation et l'optimisation d'un module en logique asynchrone est proposé dans le but de répondre efficacement à la contrainte de diminution de la consommation d'énergie due à la communication radio.

 

 

RÉSULTATS:

 

 

Les résultats attendus sont une réduction des éléments logiques utilisés pour la réalisation du design en logique asynchrone, une diminution de la consommation due à l'écoute du réseau de radiocommunication. Enfin, à terme, une plateforme complète et fonctionnelle de développement d'algorithmes d'apprentissage sera fournie.


 

ROBATI, Tiyam 

DIPLÔME: Ph.D.

 

 

TITRE:

 

Le support matériel pour routeur virtuel (routeur d’agrégation) à haute vitesse

 

 

RÉSUMÉ:

 

Beaucoup de problèmes ont été signalés avec l’internet actuel et depuis longtemps ; ces problèmes sont des symptômes qui montrent qu’une approche globale est nécessaire pour y répondre. Les utilisateurs ne sont plus seulement connectés à Internet via les ordinateurs ; ils utilisent également les téléphones portables ou d’autres appareils reliés à Internet et qui montre que l’Internet actuel est loin d’être optimal dans un monde de la fibre optique et les communications sans fil. Par conséquent, la nécessité d’un futur Internet qui coexiste avec l’Internet actuel est évidente. Une solution intéressante à ce problème est la virtualisation de réseau qui est proposée pour soutenir différentes architectures de réseau et les protocoles sur une infrastructure réseau partagée. Un réseau virtualisé peut offrir la possibilité de louer des « tranches » de l’infrastructure de réseau qui ont le même matériel et les capacités du logiciel que l’infrastructure principale et, en louant les tranches à différents utilisateurs, un fournisseur peut mettre en œuvre une nouvelle architecture, protocoles et services dans un beaucoup plus facile ainsi qu’avec toute autre solution possible. Cette capacité est fondée sur le découplage de l’infrastructure des services.

 

 

PROBLÉMATIQUE:

 

Ø  Comment pouvons-nous isoler le trafic et toutes les ressources partagées entre les tranches d’avoir l’isolement complet?

Ø  Quel type de support matériel que nous pouvons utiliser pour implémenter la virtualisation (Processeur réseau, FPGA, SoC,)?

 

 

MÉTHODOLOGIE:

 

On vise à concevoir un SoC pour supporter la virtualisation afin de partager les ressources entre les tranches, en offrant le contrôle d’isolement complet ainsi que l’allocation de bande passante en fonction des priorités.

Il faut, en premier lieu, identifier les caractéristiques manquantes à un routeur classique pour supporter la virtualisation. Ensuite, on proposera une architecture supportant la virtualisation grâce à ces nouvelles caractéristiques. Finalement, on passera à l’implémentation dans le but de valider les concepts ainsi que la performance atteinte. L’implémentation se fera selon les étapes suivantes:

 

Ø  Module de codage différent dans C, puis SystemC

Ø  Produire SoC qui peut être utilisés pour la virtualisation dans le contexte de différentes

 

 

RÉSULTATS:

 

Le codage C est fait.


 

ROGERS-VALLÉE, Michel

DIPLÔME: M.Sc.A.

 

 

TITRE:

 

Une méthode d’estimation de la consommation de puissance pour systèmes sur puce reprogrammable.

 

 

RÉSUMÉ:

 

Le projet consiste à développer une bibliothèque à haut niveau de la consommation de puissance pour des modules standard de Xilinx.  En utilisant la simulation SystemC de l’outil Space Codesign, il est possible d’accélérer le développement d’une architecture à faible consommation en évitant de faire des synthèses, qui sont très coûteuses en temps.

 

 

PROBLÉMATIQUE:

 

La consommation de puissance est une préoccupation importante de nos jours lors de la conception d’un système.  De plus en plus les systèmes se complexifient et cette tendance augmente la consommation de fonctionnement.  Étant donné que les sources (batterie et prise électrique) sont limitées, il faut constamment diminuer la consommation des systèmes.  Bien qu’il existe des méthodes d’évaluation de la puissance au niveau RTL, de plus en plus de conception se fait à haut niveau (ex.: au niveau système) et de tels estimateurs de puissance ne sont pas disponibles. Le développement de cette bibliothèque permet de concilier les deux.

 

 

MÉTHODOLOGIE:

 

Ø  Énumérer des composants de Space pour lesquels l’estimation de puissance est nécessaire.  Isoler les différentes variables qui influencent la consommation de puissance.  Faire varier chacune des variables et déterminer l’influence sur la consommation avec les outils de Xilinx (EDK et Xpower);

Ø  Avec les données obtenues, créer des modèles de consommation de chacune des composantes.

Ø  Développer une bibliothèque de composante à haut niveau d’abstraction et l’intégrer comme outil de Space Codesign;

Ø  Développer une méthodologie basée sur la séparation du comportement ou de l’architecture du module de la technologie d’implémentation du système.  Cette séparation permettra de réutiliser les équations pour différentes technologies.  Si la structure d’un nouveau composant est similaire à un composant présent, il est possible de réutiliser les équations pour accélérer son ajout dans la bibliothèque;

Ø  Effectuer un ensemble de comparaisons avec les outils de Xilinx et la réalité pour valider la méthodologie;

Ø  Intégrer des outils et proposer des méthodes pour diminuer la consommation en puissance d’une architecture utilisant la bibliothèque.

 

 

RÉSULTATS:

 

L’application de la méthodologie a été effectuée sur une gamme d’IP constituant un système embarqué (processeur, mémoire, bus interrupteur et minuterie).  La précision des modèles est de 15 à 20% d’erreurs ou moins.  De plus, la méthode permet, en utilisant l’outil Space Codesign, de faire une estimation de puissance qui est environ dix fois plus rapide que la méthode traditionnelle utilisant un simulateur (Modelsim) et un estimateur RTL (Xilinx Xpower).  Le bus OPB est dans la phase finale de vérification et un test global avec une nouvelle application sera fait pour finaliser les données.


 

SALAM, Muhammad Tariqus

DIPLÔME: Ph.D.

 

TITRE:

 

Microsystème biomédical implantable pour le traitement de l’épilepsie.

 

RÉSUMÉ:

 

Environ 50 millions de personnes dans le monde souffrent d’épilepsie, dont un tiers sont réfractaires aux médicaments antiépileptiques.  Un nombre de ces derniers ne sont pas de bons candidats à la chirurgie ou ont continué à avoir des crises malgré la chirurgie.  Ainsi, de nouvelles options thérapeutiques pour l’épilepsie réfractaire sont nécessaires.  Un stimulateur cortical approprié pourrait être un mode plus sûr et efficace de traitement alternatif pour l’épilepsie réfractaire.  L’idée de ce traitement est d’identifier le début des crises et de bien stimuler la zone épileptogène de façon à supprimer une saisie à venir.  Par conséquent, une faible puissance de détection de début des crises est nécessaire et elle doit être très sensible aux décharges anormales spécifiques électrographiques.

 

PROBLÉMATIQUE:

 

De nombreux modèles mathématiques ont été développés pour détecter les crises, dont l’EEG intracérébral. Les modèles de base ont montré de meilleures performances qu’EEG de surface à base de modèles.  Ces modèles ont été traduits en filtres passe-bande et en logiciels qui sont utiles pour les applications à court terme.  En outre, l’amplification du signal neuronal est un enjeu crucial, parce que la performance relativement faible en termes de bruit de la technologie CMOS provoque plusieurs types de bruits indésirables, module le signal et diminue le rapport signal/bruit.  Beaucoup de méthodes de pré amplification des signaux neuronaux ont été proposées, et certaines ont démontré des capacités impressionnantes pour amplifier le potentiel de très faible amplitude-action.  Il existe une variabilité dans le modèle de saisie en fonction de la localisation, de l’étiologie de la saisie et le placement des électrodes intracrâniennes.  En outre, la détection des rythmes biologiques peut causer de fausses alarmes.

 

MÉTHODOLOGIE:

 

La faible puissance du détecteur de crise épileptique a un gain d’amplification réglable de sorte qu’il puisse mettre l’accent sur le niveau d’amplitude d’intérêt.  Aussi les tensions de seuil variables d’un détecteur de niveau de tension délimitent les emplacements des signaux détectés et extrait les informations de la fréquence ainsi que l’augmentation progressive de l’amplitude.  Permettre la syntonisation de détecteur haute fréquence facilite la détection précise de la fréquence des crises d’un patient. L’effet global du bruit et la consommation électrique de l’appareil sont réduits.  Cette détection est censée être très fiable dans un dispositif implantable sans risquer de fausses détections des rythmes physiologiques (sommeil de broche).  L’algorithme propose la saisie de détection et est applicable à tous les patients qui ont subi des crises fréquentes caractérisées par une augmentation progressive de la basse tension en pleine activité sur les enregistrements EEG intracérébraux.

 

RÉSULTATS:

 

Sept patients ont subi une étude intracrânienne pour mieux délimiter la zone épileptogène.  Plusieurs saisies ont été enregistrées toutes originaires de l’hippocampe droit et avec diffusion au néocortex latéral temporal et à l’insula.  Les on sets saisis ont été marqués par un épileptologue (DKN).  Le début des crises a été caractérisé par une activité tonique initiale basse tension alpha dans l’évolution de dopage rythmique.  Le signal enregistré lors d’une saisie a été introduit dans le CLNS pour tester la performance. Le CLNS utilise les propriétés de l’iEEG mesuré pour déclencher une suppression de la crise bi phasique par stimulation électrique dès l’apparition de la crise.  La performance de la CLNS testée chez un patient souffrant d’épilepsie réfractaire a montré une détection précoce de la saisie à la stimulation sensible ultérieure.  Une telle stimulation du foyer épileptogène peut espérer perturber la progression, la saisie et la propagation dans les régions adjacentes.  Des stimulations préliminaires indiquent que les performances de ces dispositifs sont satisfaisantes.  La validation expérimentale est réalisée.


 

SAVARD, Julien

DIPLÔME: Ph.D.

 

TITRE:

 

Exploration d’une procédure de simulation native de système IMA avec une application avionique graphique

 

RÉSUMÉ:

 

Ce projet s’intègre dans le projet de recherche universitaire AREXIMAS, dirigé par l'École Polytechnique de Montréal, en collaboration avec l'École de Technologie Supérieur et du Consortium de Recherche et d'Innovation en Aérospatiale au Québec.  Il vise à concevoir une méthodologie de conception, à partir d’un cas d’utilisation, faisant usage d’un environnement de simulation peu couteux.  Une application d'une « Unité de Contrôle et d’Affichage Multi-usage » (MCDU) avec interface graphique sera développée et exécutée sur un simulateur de systèmes « Avioniques Modulaires Intégrés » (IMA).  Celle-ci interagira avec un « Système de Gestion de Vol » (FMS) de CMC Électronique à travers des ports UDP/AFDX.  La méthodologie proposée permettra d’évaluer le temps à allouer à chaque partition, la consommation mémoire, les taux d’utilisation des ports de communication, et les impacts collatéraux générés par les changements à la configuration du système.

 

PROBLÉMATIQUE:

 

L'objectif visé des IMA est de rassembler plusieurs applications sur une même plateforme pour réduire les coûts, ainsi que les temps de développement, de certification et de production, tout en accroissant la flexibilité des systèmes. Afin d’assurer la protection et la séparation fonctionnelle entre les applications critiques, l’industrie aéronautique a développé des interfaces standardisées de communication. La spécification ARINC 653, balise le partitionnement temporel et spatial d’un processeur pour celles-ci.

 

En dehors des systèmes d'exploitation dispendieux permettant le développement de systèmes ARINC 653, tel VxWorks653, il existe peu de savoir-faire pour les quelques environnements peu coûteux permettant de simuler ces systèmes durant la conception. Le présent projet vise donc à pallier à cette problématique en développant une méthodologie à partir d'un cas d'utilisation qui utilisera un simulateur à faible coût et permettra au développeur d'observer une série de métriques durant la conception qui seront cruciales pour la réalisation du système.

 

MÉTHODOLOGIE:

 

Le projet se réalisera en cinq phases :

 

Ø  Le développement d'une application graphique représentant un MCDU (norme ARINC 739A).

Ø  Identifier un simulateur de systèmes IMA, et l'utiliser pour l’exécution de l’application MCDU.

Ø  Intégrer le système MCDU à une application de FMS, fourni par une entreprise partenaire du projet, qui communiquera avec l'application MCDU par le biais d'un bus de communication UDP/AFDX, et permettra de la valider.

Ø  Valider le système, obtenir des informations sur les métriques et développer la méthodologie. 

Ø  Rédiger le mémoire en incluant tous les détails du projet

 

RÉSULTATS:

 

Le présent projet fournira une méthodologie de conception utilisant un simulateur à faible coût. Celle-ci permettra l'observation d'informations relatives à la consommation mémoire du système IMA, au taux d'utilisation de ses ports de communication, au temps d'exécution idéal à allouer à ses partitions, et aux effets collatéraux générés par ses différentes configurations. Une application avionique graphique sera également livrée pour être utilisée dans de futurs projets de recherche, notamment ceux de l'équipe d’AREXIMAS.

 


 

SHARAFI, Azadeh

DIPLÔME: Ph.D.

 

 

TITRE:

 

Conception et mise en œuvre d'un micro-capteur non attaché pour la détection de tumeur du sein précoce.

 

 

RÉSUMÉ:

 

Selon l'Agence internationale de recherche sur le cancer (CIRC), avec 1,38 millions de nouveaux cas de cancer et 485 décès en 2008, le cancer du sein est le cancer le plus fréquent chez les femmes.  Alors, il est nécessaire de développer de nouveaux outils pour diagnostiquer et traiter ce genre de cancer.  En outre, un risque de récurrence du cancer se pose après le traitement.  Dans un tel cas, il est indispensable de détecter la tumeur le plus rapidement possible. Le moyen le plus efficace pour détecter les cellules tumorales est l’imagerie par résonance magnétique (IRM). Cependant, cette technique n'est pas en mesure de détecter de petites tumeurs en développement (<5 mm). Par conséquent, il est nécessaire de trouver de nouvelles méthodes pour détecter les tumeurs de moins de 5 mm. Des études montrent que, lorsque la tumeur commence à grandir, certains paramètres micro-environnementaux, tel que le niveau de pH, changent. Il est possible de détecter la tumeur à son stade précoce par la mesure de ces paramètres en les comparants aux valeurs standards à l’aide d’un micro-capteur.  Les contraintes sur la taille, l'alimentation et le système de communication entre le micro-capteur et le monde extérieur sont les questions les plus importantes qui devraient être abordées dans cette solution.

 

 

PROBLÉMATIQUE:

 

Comment détecter la récurrence du cancer lorsque la tumeur est inférieure à 5 mm?

 

 

MÉTHODOLOGIE:

 

Objectif général

Concevoir un micro-capteur pour détecter une tumeur en développement par mesures de variations de pH.

 

Objectifs spécifiques

 

Ø  Trouver la taille minimale détectable de la tumeur par le micro-capteur.

Ø  Trouver une résolution minimum de détection de pH par le micro-capteur.

Ø  Proposer un nouveau système de communication sans fil entre le micro-capteur et le monde extérieur.

Ø  Proposer un nouveau bloc d'alimentation pour le micro-capteur.

Ø  Validation du micro-capteur à travers des expériences in-vitro.

 

 

RÉSULTATS:

 

Ø  Un système de communication binaire sous la forme d'une modification de direction dans le courant circulant dans une bobine miniature a été proposé.

Ø  La bobine sera intégrée dans un micro-robot.

Ø  Le scanner IRM a été utilisé comme un récepteur sensible.

Ø  La direction du courant est déterminée en utilisant des encodages de phase d’images IRM.

Ø  Les résultats préliminaires ont montré la possibilité d'utiliser cette méthode pour des micro-robots intelligents ou des capteurs pour des applications telles que la détection précoce de cancers.


 



SIADJINE NJINOWA, Marcel

DIPLÔME: Ph.D.

TITRE:

Conception d’un transmetteur de faible consommation de puissance et applications dans les réseaux WBAN

 

RÉSUMÉ:

Ce travail de recherche porte sur la réduction de la consommation de puissance dans un transmetteur utilisé dans les réseaux sans fils sur la personne humaine (WBAN). Ces réseaux sont essentiellement constitués de capteurs et d’actuateurs disposés sur ou à proximité du corps humain pour mesurer différents paramètres physiologiques en différents endroits du corps humain. Les mesures effectuées sont remontées par voie radio vers une unité déportée laquelle va les traiter, les renvoyer, prendre des décisions, alerter, les enregistrer, etc. Afin d’améliorer les performances de ces réseaux, de grands efforts sont mis à contribution pour les rendre totalement intégrables sur puce. Ceci a pour effet de minimiser leurs tailles et leurs prix. La consommation de puissance dans ces réseaux sans fils doit aussi être minimisée dans l’optique d’améliorer la durée de vie des batteries qui assurent leur alimentation. Dans un premier temps, nous nous intéresserons à la consommation de puissance du générateur d’horloge dans ces réseaux. Dans ces réseaux, le générateur d’horloge est utilisé comme oscillateur local. Ainsi, nous proposerons des architectures d’oscillateur consommant moins de puissance et des techniques de réduction de la puissance et de gigue. Le choix de la focalisation de notre attention sur la réduction de l’énergie de l’oscillateur est justifié par le fait que 50% de l’énergie totale du synthétiseur d’horloge est consommée par l’oscillateur. Dans un second temps, notre attention sera portée sur la réduction de la consommation totale de puissance du transmetteur. Dépendamment des architectures, la consommation de puissance de l’amplificateur de puissance dans ces réseaux varie entre 40 et 60%. Nous tiendrons compte de cette information et proposerons de nouvelles architectures de transmetteur consommant le moins de puissance possible.

 

PROBLÉMATIQUE:

Les principales caractéristiques des réseaux sans fils sur la personne humaine(WBAN) sontn leur fiabilité, leur taille et leur faible consommation de puissance étant donné que leur source d’énergie est généralement limitée. Dès lors que le bloc de génération d’horloge joue un rôle capital dans ces réseaux, celui de la synchronisation des opérations et de porteuse RF, il devient alors nécessaire d’optimiser ses performances à savoir la réduction de sa consommation de puissance et de son bruit de phase. Telle est la tâche qui nous incombe dans ce projet de recherche. Par ailleurs, nous nous intéresserons aussi à la réduction de la consommation de puissance du transmetteur en entier. En d’autres termes comment concevoir un système fiable, totalement intégrable et consommant le mois de puissance possible?

 

MÉTHODOLOGIE:

Dans un premier temps, nous focaliserons notre attention sur la réduction de la consommation de puissance de l’oscillateur car ce dernier consomme plus de la moitié de la puissance du générateur d’horloge. C’est ainsi que nous proposons de nouvelles cellules à délai consommant moins de puissance et qui serviront à la conception de l’oscillateur faible puissance. La conception et la simulation de cet oscillateur faible puissance se fait par le biais de l’outil Cadence. Étant donné que la gigue est l’une des sources de bruit de phase dans le générateur, nous proposons une technique de réduction de cette gigue.

Dans un second temps, nous nous intéresserons à l’augmentation de l’efficacité de notre transmetteur. Cette efficacité est définie comme le rapport de la puissance transmise et de la consommation totale de puissance du transmetteur. Étant donné qu’environ 60% de puissance est dissipée par l’amplificateur de puissance, Nous proposerons de nouvelles architectures de transmetteur qui tiendront compte de cette réalité.

Enfin une implémentation sur puce du transmetteur proposé améliorera à coup sûr la mobilité, le suivi et le traitement des patients. Bref, la qualité de vie des patients sera nettement améliorée.

 

RÉSULTATS:

A ce stade de nos travaux de recherche, nous avons déjà conçu un convertisseur de donnée DAC utilisant seulement les cellules normalisées. La technique de réduction de gigue utilise ce DAC et une application de cette technique dans un générateur d’horloge, le FRPS montre bien que la gigue initiale sur le FRPS a été réduite de moitié. Ces deux contributions ont fait l’objet de deux articles de conférence. Nous proposons aussi une nouvelle cellule à délai à faible consommation et son application dans un oscillateur. Ce qui fait présentement l’objet d’un article soumis. D’autres résultats sont également attendus, à savoir un transmetteur simple et efficace.

 

 

SIMARD, Guillaume

DIPLÔME: Ph.D.

 

 

TITRE:

 

Télémétrie à haut débit pour des implants biomédicaux dédiés à l’enregistrement neuronal.

 

 

RÉSUMÉ:

 

Ce projet s’insère dans le cadre de la réalisation par l’équipe de neurotechnologies PolyStim d’un implant d’enregistrement neuronal.  Il consiste à créer un nouveau transmetteur sans fil à haut débit et à évaluer le taux d’erreurs par bit (BER) acceptable pour ce type d’application.

 

 

PROBLÉMATIQUE:

 

Le domaine des implants biomédicaux est en effervescence.  Les chercheurs tentent d’augmenter les capacités des implants en termes de frugalité énergétique, de vitesse de transmission et de miniaturisation afin de pouvoir mieux intégrer ces dispositifs aux systèmes biologiques qui répondent naturellement à ces critères.  L’objectif est d’augmenter la vitesse de transmission du lien montant (intérieur vers extérieur) d’un implant biomédical, sans toutefois augmenter dramatiquement sa consommation en énergie et en respectant un nombre d’erreurs qui est à déterminer.

 

 

MÉTHODOLOGIE:

 

Simuler un lien complet de transmission des données et la génération d’erreurs sur cette chaine afin de déterminer un taux d’erreur par bit acceptable (BER).  À partir de ce taux d’erreur, concevoir un transmetteur à faible consommation d’énergie suffisamment rapide et fiable pour l’enregistrement neural.  Deux types de transmetteurs sont à l’étude, l’un de type ultralarge bande à répétition d’impulsions et l’autre à couplage capacitif utilisant la technique MIMO.

 

 

RÉSULTATS:

 

Un transmetteur de type ultra-large bande a été fabriqué et est en cours de validation.  Une correction au niveau de la puce doit être apportée afin de compléter les analyses de consommation énergétique de celle-ci.  Les résultats sont attendus d’ici la fin de 2011. Des simulations sont en cours afin de déterminer le BER acceptable de ce transmetteur pour l’application de l’enregistrement neural et le travail de conception est en cours pour le transmetteur à couplage capacitif.


 


STIMPFLING, Thibaut

DIPLÔME: M.Sc.A.

 

 

TITRE:

 

Optimisation d'un algorithme de classification de paquets dans le contexte d'OpenFlow en vue d'une implémentation matérielle.

 

 

RÉSUMÉ:

 

Les opérateurs de télécommunication (internet, téléphonie) font face à une explosion de l’utilisation en bande passante par leurs clients. En effet, les utilisateurs ont changé leurs habitudes de consommation pour un usage nomade. Désormais, les consommateurs veulent pouvoir accéder à Internet, à partir d’un «Smartphone», ordinateur, tablette ou télévision.  La quantité de données échangées n’a cessée ainsi d’augmenter, poussant les fournisseurs d’accès à mettre en place des stratégies d’optimisation de l’utilisation des ressources de leur réseau. En effet, le déploiement d’une nouvelle architecture (fibre optique) entraine des couts très élevés. Ainsi, les opérateurs cherchent à identifier et traiter de manière optimale chaque type de trafic passant sur leurs liens. A cet égard, la classification de paquets (c’est-à-dire l'identification du trafic) doit se faire à une granularité très fine. Faisant face à un nouveau contexte, les algorithmes de classification de paquets doivent donc être repensés et optimisés.

 

 

PROBLÉMATIQUE:

 

Le problème considéré porte sur l’isolation entre chacun des opérateurs partageant l’équipement physique. Il s’agit en effet de garantir à chacun d’eux des ressources dédiées d’une part, mais aussi la capacité à utiliser des ressources sous-utilisées par certains autres opérateurs. On comprend ainsi que ce partage des ressources s’effectue de manière dynamique.

 

 

MÉTHODOLOGIE:

 

La méthodologie suivante sera suivie :

 

Ø  Adaptation de l'algorithme EffiCuts au contexte d'OpenFlow

 

Ø  Identification des causes de la sous-performance de l''algorithme

 

Ø  Proposition de plusieurs optimisations algorithmiques préliminaires

 

Ø  Conception d'un nouvel algorithme

 

Ø  Proposition d'une architecture matérielle

 

 

RÉSULTATS:

 

Suite à la phase de test, plusieurs optimisations ont été intégrées et permettent dans un premier temps de diminuer la taille de la structure de donnée générée par l'algorithme de 35% tout en réduisant le nombre moyen d'accès mémoire par 2. Par ailleurs, les modifications apportées ont été réalisées dans le but de réaliser une implémentation matérielle de l'algorithme. La deuxième phase consistait à désigner un algorithme optimisé pour le contexte d’OpenFlow et permet de diminuer le nombre d'accès mémoire par un facteur 3 en moyenne.


 

TABOUBI, Mohamed                                                                                                              DIPLÔME: M.Sc.A.

 

TITRE:

Techniques de localisation des terminaux mobiles dans les environnements indoor

RÉSUMÉ:

Ce projet porte sur les techniques de localisation des terminaux mobiles pour les environnements indoor. Spécialement la technique «Fingerprinting» avec les puissances du signal radio WiFi sera étudiée et améliorée. Les améliorations consistent de proposer un filtre pour les signaux reçus et un algorithme d’estimation de position. Ensuite, une nouvelle technique de localisation qui se base sur la multi altération sera proposée. Cette technique consiste à utiliser les différences entre certaines puissances du signal radio WiFi reçu pour pouvoir se localiser. Les performances des deux techniques proposées seront ensuite évaluées via différentes expériences faites dans quelques étages d’un pavillon de l’école polytechnique.

PROBLÉMATIQUE:

Le fait de pouvoir se localiser à l’intérieur des bâtiments est une contribution exceptionnelle qui aidera à développer des nouveaux services d’intérieurs. L’utilisation des techniques ordinaires de localisation comme en utilisant les signaux GPS n’est pas possible pour les environnements qui ne sont pas à ciel ouvert (comme à l’intérieur des bâtiments ou au milieu d’une ville tout près des grands immeubles qui cachent partiellement le ciel). Pour cela d’autres techniques devront être utilisées. Dans notre cas on a choisi de travailler avec le system WiFi puisque son infrastructure est déjà présente et que les terminaux mobiles peuvent de plus en plus interagir avec de tels systèmes. Cependant, les capacités et performances de ce système, en termes de localisation, restent à démontrer. En fait le signal WiFi peut être affaibli ou perturbé par les différents composants présents dans les environnements indoor (Mur, personnes en mouvements et appareils utilisant la même bande de fréquence)

MÉTHODOLOGIE:

Les informations concernant la puissance du signal WiFi peuvent être lues et utilisées pour des fins de localisation puisque le niveau de puissance est lié à la distance entre l’émetteur. Cette relation est déjà modélisée par des équations théoriques. Cependant, l’utilisation de ces équations théoriques n’est pas très fiable puisqu’elles ne tiennent pas en considération tous les variables de l’environnement. Dans ce contexte, on a choisi d’étudier la technique de «Fingerprinting» qui se base sur des données réelles collectées sur le terrain. Cependant les données collectées sont elles-même bruitées et, c’est pourquoi on a choisi d’utiliser des filtres afin de rendre les données captées plus fiables. D’autre part, les valeurs de la puissance du signal WiFi mesurées varient entre les différents appareils mobiles. C’est ainsi que c’est né l’idée d’utiliser la différence de puissance comme information de localisation.

RÉSULTATS:

Les expériences faites avant le 31 décembre 2012 montrent que, pour 1 seconde de mesure, l’erreur de précision de localisation est de moins de 2metres pour 37% des cas et atteint 55% pour une localisation dans les corridors.


 






TREMBLAY, José Philippe

DIPLÔME: Ph.D.

 

 

TITRE:

 

Caractérisation de la fiabilité d’un réseau de transducteurs dans le domaine avionique

 

 

RÉSUMÉ:

Dans le cadre de ce projet, une nouvelle topologie d’un système de communications basée sur le protocole avionique AFDX/ARINC664 est proposée. Des ensembles de capteurs et d’actuateurs, géométriquement rapprochés, seront reliés entre eux par un bus secondaire régi par la norme ARINC825. La garantie de l’intégrité des données transmises, l’interopérabilité avec tous types de transducteurs ainsi que le respect des différentes contraintes du domaine avionique constituent les aspects prioritaires pris en compte lors du développement du réseau.

 

 

PROBLÉMATIQUE:

 

Dans l’industrie aéronautique, de nombreux systèmes de capteurs et d’actuateurs sont requis afin d’assurer un nombre grandissant de fonctions à bord d’un avion. Avec la technologie actuelle, la connexion de ces instruments demanderait un réseau encombrant de fils. Les différentes normes de sécurité des systèmes avioniques viennent encore plus compliquer les choses en demandant l’installation redondante de tous les composants à bord. De plus, la communication entre différents modules appartenant à divers domaines d’applications est maintenant requise dans les nouvelles plateformes avioniques, ce qui entraine une augmentation marquée de la demande en bande passante à l’intérieur d’un aéronef tout en devant s’assurer de maintenir l’intégrité des données critiques lors de leur transmission.

 

 

MÉTHODOLOGIE:

 

La première étape consiste au développement du bus secondaire selon la norme ARINC825. Afin de s’assurer de la fiabilité du système global, un mécanisme d’injections de fautes spécifiques au domaine aéronautique sera mise en place de manière à pouvoir stimuler le circuit. Une série d’observateurs matériels sera par la suite intégrée au système pour en assurer le respect de contraintes spécifiques. Ceux-ci seront basés sur les assertions matérielles, décrites à l’aide du langage PSL (Property Specification Langage). Finalement, la caractérisation de la fiabilité sera effectuée à l’aide d’une nouvelle méthodologie basée sur la théorie des valeurs extrêmes. L’application de cette dernière théorie permettra des réduire le nombre de fautes injectées pour en arriver au niveau de précision requis par le domaine avionique.

 

 

RÉSULTATS:

 

Un prototype, basé sur deux cartes FPGA, est présentement en production afin de valider la proposition quand à une partie de l’architecture globale. Les mécanismes d’injection de faute sont également insérés dans le réseau afin de valider la fiabilité du système. L’architecture proposée sera par la suite adaptée pour l’atteinte des objectifs fixés.

 


 






TRENTIN, Davide 

DIPLÔME: M.Sc.A.

 

 

TITRE:

 

Étude et implémentation d’un système de communication avionique.

 

 

RÉSUMÉ:

 

Le but du projet, qui se situe dans la cadre du projet Avio 402, est l’analyse et l’implémentation des certains sous-systèmes inclus dans l’architecture du projet principal. Une des taches principales du projet Avio 402 est la conception d’un système de transmission de données qui mette en communication les capteurs et les actuateurs avec l’ordinateur central de bord et, pour la réalisation de ce système, deux protocoles de communication différents sont utilisés : le ARINC 825 et le ARINC 664, Part 7 (AFDX). Le but de mon projet de recherche est l’analyse des implémentations possibles de trois parties de ce système : le contrôleur CAN (qui réalise la base du contrôleur de bus ARINC 825), le « End System » AFDX et le Switch AFDX. Les parties qui nécessitent une implémentation matérielle seront ainsi implémentées pour démontrer qu’il est possible respecter les contraintes du projet avec cette approche et pour réaliser un prototype du système.

 

 

PROBLÉMATIQUE:

 

Le projet Avio 402 nécessite l’implémentation d’un prototype pour évaluer la précision des résultats théoriques obtenus grâce à des modèles mathématiques. L’analyse des différents types d’implémentation possibles et la réalisation d’un prototype permettront aussi d’améliorer le «Technology Readiness Level» en déterminant les défis de mise en œuvre et les fonctionnalités offertes par les technologies utilisées.

 

 

MÉTHODOLOGIE:

 

Le prototype du système sera implémenté en utilisant des FPGA (en particulier, des Xilinx Spartan 6 ont été choisis) qui permettent d’implémenter les différentes parties du système en matériel et en logiciel dans le même dispositif. Puisque le «End System» AFDX peut être réalisé complètement en logiciel, un ordinateur sera utilisé au lieu d’un processeur embarqué pour simplifier l’interface avec des ports Ethernet (utilisées par ce protocole de communication) et pour permettre à d’autres étudiants de progresser dans leurs travaux de recherche en utilisant la même plateforme.

 

 

RÉSULTATS:

 

Le contrôleur CAN a déjà été implémenté en matériel (et modifié par un autre étudiant pour être adapté aux spécifications du protocole ARINC 825). Une étude sur le protocole AFDX à été complétée et les fonctionnalités que le «End System» doit garantir ont été déterminées et une approche software à été choisie pour sa réalisation. De premières modifications ont été faites au protocole Ethernet inclus dans Linux 2.6 pour ajouter les fonctionnalités requises par AFDX (un autre étudiant est maintenant en train de compléter cette migration).


 

TRIGUI Aref                                                                       DIPLÔME: M.Sc.A.

 

 

TITRE:

 

Asservissement de l'énergie inductive transmise aux implants électroniques.

 

 

RÉSUMÉ:

 

De nombreuses études ont montré que la transmission de puissance par lien inductif est la méthode la plus efficace pour alimenter les implants électroniques (IE). Cependant, les implants électroniques peuvent ne pas fonctionner correctement s'il y a livraison insuffisante de la puissance en raison de la variation de la fréquence de résonance primaire qui peut être influencé par plusieurs paramètres tels que le facteur de couplage entre les bobines, la variation de la charge au côté récepteur et le vieillissement des composants. Cet article présente une nouvelle méthode de compensation de la variation des paramètres du lien inductif. La méthode proposée est basée sur un transmetteur de puissance inductive intégrant un circuit de rétroaction de la tension de la bobine primaire suivie d’un moteur pas à pas de haute précision qui accorde de manière dynamique le condensateur de résonance primaire pour atteindre l'état de résonance. Le transmetteur proposé a montré des améliorations remarquables par rapport aux transmetteurs accordés manuellement. Il permet également d’alimenter divers implants sans l’intervention du concepteur.

 

 

PROBLÉMATIQUE:

 

Bien que le système d’alimentation inductive ait été largement déployé dans les IMD depuis longtemps, il y a encore un certain nombre de défis. Un défi majeur est l'inefficacité du transfert d'énergie suite à une variation des paramètres du lien inductif. Généralement, le facteur de couplage est le plus susceptible de changer et son impact est très significatif sur l'efficacité du lien. Ce facteur k dépend fortement de la géométrie bobines (taille et forme), leur position relative (distance et angle) et les propriétés du matériau. La charge de l'implant est également sujette à variation. Par exemple, la variation du nombre de points de stimulation des nerfs ou le saut entre un état de pleine charge à un mode d’attente et vice versa sont des situations fréquemment rencontrées.

 

 

MÉTHODOLOGIE:

 

Dans un premier temps, une revue de la littérature sur les techniques de compensation de la variation des paramètres du lien inductif sera entreprise. Ensuite, une conception d’un transmetteur d’énergie inductive classique, puis d’un circuit dédié pour compenser la variation des paramètres du lien inductif sera effectuée. Le circuit de compensation comportera un bloc de détection de la puissance transmise et un bloc de contrôle du moteur pas à pas qui commande à son tour le condensateur de résonance primaire. La gestion de ces deux blocs sera réalisée à l’aide d’un algorithme implémenté dans un microcontrôleur. Finalement, le système proposé sera testé et les résultats seront analysés et validés.

 

 

RÉSULTATS:

 

Le système proposé est capable de fournir le maximum d'énergie possible en dépit de la variation du facteur de couplage et de la charge secondaire. Il peut également alimenter différents implants séparés sans l'intervention du concepteur. Le concept donne la possibilité d'utiliser une grande variété de bobines d'émission dans la gamme de 270 nH à 5,5 uH. Les résultats préliminaires effectués sont positifs et un développement supplémentaire du concept sera entrepris dans les travaux futurs.


 

 





VAKILI, Shervin

DIPLÔME: Ph.D.

 

 

TITRE:

 

Optimisation de la largeur d'unités fonctionnelles et de chemins des données de processeurs configurables pour le traitement vidéo

 

 

RÉSUMÉ:

 

Ce projet propose deux nouvelles techniques d'optimisation pour le processus de conception de processeurs spécialisés (ASIP) et évalue leur efficacité.

 

 

PROBLÉMATIQUE:

 

La spécialisation de processeurs (ou la conception ASIP) est une approche de conception du matériel qui vise à combler le fossé entre des processeurs programmables et la conception ASIC. L'idée de base de cette tendance est de spécialiser les éléments architecturaux d'un processeur de base pour l'application cible en vue d'améliorer les facteurs d'efficacité. L'objectif de ce projet est d'introduire deux optimisations nouvelles, qui peuvent être utilisées dans le processus de conception ASIP. Ces optimisations sont directement liées aux calculs en virgule fixe. Dans les systèmes embarqués temps réel, le calcul en virgule fixe est normalement utilisé pour implémenter des applications de DSP et traitement vidéo.

 

Les éléments suivants décrivent brièvement ces optimisations.

Ø  -L'optimisation de la longueur des mots de variables dans l'application cible visant à améliorer la longueur du chemin de données (y compris les unités fonctionnelles, registres, etc.) dans l'ASIP.

Ø  -La sélection des techniques et des architectures appropriées pour implémenter les unités fonctionnelles. La complexité de ce problème dépend du nombre de techniques disponibles pour chaque fonction, la largeur des opérandes, etc.

 

 

MÉTHODOLOGIE:

 

La recherche proposée est prévue pour être réalisé en quatre phases principales basées sur les objectifs. Pendant la première phase, nous allons développer un processeur personnalisé (ASIP) modèle, qui offre une capacité suffisante pour mettre en œuvre et évaluer les activités proposées dans les étapes ultérieures de ce projet. Dans la deuxième phase, nous allons développer une méthodologie pour modéliser l'erreur de quantification et pour optimiser la largeur des mots de réalisation matérielle en virgule fixe. Dans la troisième phase, nous allons développer une méthodologie de sélection automatique de largeur des mots pour être intégrés dans le processus de conception ASIP. Cette méthodologie est basée sur l'exploration de l'espace de conception et vise à optimiser le compromis cout-précision. La quatrième phase introduira une méthodologie pour optimiser l'architecture et la mise en œuvre des unités fonctionnelles utilisées dans l'ASIP. Cette méthodologie est également intégrée dans le processus de développement de processeurs personnalisés.

 

 

RÉSULTATS:

 

Nous avons présenté un environnement de conception ASIP, appelé PolyCuSP, qui offre une façon rapide et flexible pour la conception des processeurs personnalisés. Cette approche comble le fossé entre les deux méthodes bien connues: langages de description de l'architecture (ADLs) et processeurs extensibles. Dans un autre travail, nous avons proposé une nouvelle méthode pour optimiser la largeur des mots de réalisation matérielle en virgule fixe. Cette approche utilise AA (Affine Arithmetic) pour l'analyse de la plage dynamique et la modélisation des erreurs de quantification. Nous avons proposé une méthode analytique pour simplifier le processus d'optimisation et deux nouveaux algorithmes pour la sélection de la largeur des mots.

WATSON, Meghan                                                                                                                            DIPLÔME: Ph.D.

 

 

TITRE:

 

Optimisation des paramètres de micro stimulation intracorticale pour implants visuels

 

 

RÉSUMÉ:

 

La vision peut être simulée en s'appliquant la stimulation électrique au cerveau pour produire un modèle des percepts visuels (phosphènes) pour représenter des objets dans le champ visuel. Cependant, le modèle de l'activité qui doit être fourni au cortex pour créer ces représentations n'a pas été déterminé avec précision. Actuellement, tous les aspects de la conception prosthétique sont gênés par la stratégie de l’interface entre le stimulateur et le cortex. Une stratégie optimisée de stimulation est nécessaire pour unir tous les composants du système prosthétique pour produire un dispositif entièrement fonctionnel, i.e. qui peut effectivement communiquer avec le système nerveux central.

 

 

PROBLÉMATIQUE:

 

L'objectif de ce projet est de déterminer les paramètres optimaux de micro stimulation pour produire les représentations corticales des images. Les paramètres à l'étude incluent la polarité, l'amplitude, la fréquence, la durée d'impulsion, l'intervalle entre les impulsions et la durée du train d'impulsions du courant de stimulation. Également considéré sont le placement cortical, la disposition spatiale et l'activation temporelle des électrodes. L'objectif est de définir un ensemble de paramètres de stimulation qui minimisent les dommages au tissu cortical, les interactions électrodes, et la perturbation de circuits corticaux, tout en assurant la compréhension et l'encodage naturelle de l'information du signal.

 

 

MÉTHODOLOGIE:

 

Ø  Définir une représentation d'image utilisant des phosphènes et la méthodologie de traitement d'images associée;

Ø  Comparer le traitement des signaux neuraux de la stimulation électrique et visuelle;

Ø  Effectuer une méta-analyse des études passées de stimulation pour définir des gammes et des relations entre les paramètres;

Ø  Examiner les gammes/relations avec des expériences de neurophysiologie pour les électrodes simples et multiples;

Ø  Développer un modèle informatique pour décrire qualitativement les règles régissant les interactions entre les paramètres de stimulation et l'effet de ces paramètres sur le cerveau.

 

 

RÉSULTATS:

 

L'étape 1 est complète et sera vérifiée avec de futures expériences de neurophysiologie dans l'étape 4. L'étape 2 a eu besoin de l'accès aux données neurales et de l'aide d'un Co-surveillant; chacun des deux ont été acquis en juillet 2012. Cette étape est presque terminée; des programmes d'extraction et d'analyse de données ont été écrits et la plus grande partie de l'analyse a été exécutée. Les résultats préliminaires étaient peu concluants et actuellement sont résolus avec l'application des techniques supplémentaires d'analyse. L'étape 3 débute et le plan d'expérience préliminaire pour l'étape 4 est accomplie.

 

 


 

ZGAREN, Mohamed                                                                                                                         DIPLPÔME: Ph.D.

                                    

 

TITRE:

 

Récepteur sans fil à ultra basse puissance en bande ISM 915 MHz dédié à des dispositifs médicaux.

 

 

RÉSUMÉ:

 

Nos objectifs de recherche consistent en la mise en œuvre d’un système de réception sans fil haute performance et à faible puissance. Nous voulons examiner deux architectures principales : la première, destinée à être intégrée dans des implants qui ne nécessitent pas un débit de transmission élevé, serait à très bas niveau de consommation d’énergie. La seconde serait conçue pour des applications exigeant un débit de transmission élevé à savoir quelques méga bits par seconde. Avec le développement des piles d’alimentation miniaturisées à haute efficacité, le défi est donc d’atteindre une durée de vie la plus longue possible.

 

 

PROBLÉMATIQUE:

 

La supervision biomédicale touche un espace considérable dans le domaine d’instrumentation biomédicale qui comprend la partie communication des données physiologique et biologique à partir d’un point de mesure vers une unité externe de supervision et de traitement des données et vis-versa. L’avantage est de faire continuellement l’échange des données sans déranger les patients et sans endommager les résultats de mesure. Les méthodes de collecte des données classiques telles que le lien filaire n’est plus recommandé à cause des risques possible d’infection. D’autre part, plusieurs méthodes de transmission sans fil on été développées afin d’assurer la communication montante ou descendante de l’implant vers l’unité de control externe tel que les supports ultrasonore, électromagnétique, infrarouge et radiofréquence (RF). D’une façon générale, les dispositifs implantables, se basent sur les communications sans fil, comprend deux modules principaux; le premier est une station de contrôle placée à l’extérieur du patient et qui a pour rôle de traiter les informations reçues ou bien à envoyer vers l’implant. Le deuxième élément s’agit du microsystème implantable qui permet de prendre les mesures des données biologiques et transmet celles-ci vers la station de contrôle où elles seront par la suite traitées et sauvegardées. L’ultrasonore ou l’infrarouge est considérées insatisfaisantes.

 

 

MÉTHODOLOGIE:

 

Pour accomplir l’objectif proposé, nous planifions les étapes suivantes:

 

Ø  Une révision détaillée de la littérature sera effectuée;

Ø  Le design sera fait pour un procédé CMOS dans l’environnement de Cadence. Pour ce faire, il faudra une maîtrise adéquate de l’environnement de Cadence pour la conception de circuits mixtes;

Ø  La vérification Post lay-out sera comparée avec la simulation de circuit;

Ø  La puce fabriquée sera testée.

 

 

RÉSULTATS:

 

Toutes les simulations ont être réalisées à l’aide du logiciel Cadence Design System en technologie TSMC 90nm. Nous avons simulé le comportement du circuit en mode appelé niveau transistor. Pour les applications à faible tension d’entrée, un amplificateur à faible bruit (Low Noise amplifier-LNA) est utilisé.

 


 

ZHANG, Khai

DIPLÔME: Ph.D.

 

 

TITRE:

 

Spectromètre en technologie CMOS basé sur le phénomène d’absorption du rayonnement électromagnétique.

 

 

RÉSUMÉ:

 

Les éléments de dispersion sont irremplaçables dans les spectromètres modernes. Dans ce projet, nous proposons une nouvelle méthode pour la détection du spectre basée sur l’absorption des longueurs d’onde dans du silicium fabriqué en technologie CMOS sans utilisation d’éléments de dispersion.

 

La technologie CMOS est une technologie très mature de sorte que le détecteur et les composants de traitement de signal peuvent être implémentés sur la même puce. Ce principe de détection est différent de celui des spectroscopes traditionnels. La profondeur de pénétration de la lumière incidente dépend de la longueur d’onde pour un matériau semi-conducteur spécifique de sorte qu’on peut avoir l’information spectrale en mesurant les paires électron-trou générés par les photons en fonction de la profondeur.

 

PROBLÉMATIQUE:

 

Les photons avec différentes longueurs d’ondes pénètrent à différentes profondeurs et génèrent des pairs électrons-trous dans le silicium. Nous détectons le profil des charges en excès dans le but de dresser l’information spectrale. Pour y arriver, la génération et la recombinaison des charges et le transport des charges doivent être étudiés. Le transport des charges en excès dépend de la concentration des dopants, de la distribution des champs électrique et magnétique, du taux de génération et de recombinaison, des courants de dérive et de diffusion, des recombinaisons en surface qui réduisent le nombre de charge générées prés de la surface, de la durée de vie des charges, de la température et de la géométrie du dispositif. Étudier ces facteurs et optimiser le design nous aideront à dresser le profil des longueurs d’ondes incidentes. En outre, pour une fabrication en technologie CMOS, la taille et la forme du détecteur doit se conformer aux règles de conception.

 

MÉTHODOLOGIE:

 

Nous commençons notre recherche par le calcul de la génération et la recombinaison des charges en excès dans le substrat de silicium dopé légèrement et dans la région de déplétion respectivement. Par la suite, nous calculons les courants de dérive et de diffusion dans des conditions idéales avec des champs électriques et magnétiques uniformes. Certains résultats expérimentaux valident l’idée de détection. Par la suite, nous considérons plus de facteurs comme le taux de génération-recombinaison, la recombinaison en surface, le transport dans la région de déplétion et le champ électrique non uniforme, pour décrire le transport des charges en excès dans tout le dispositif et les effets de chaque facteur. En même temps, dans le but de soutenir et modifier le calcul théorique, nous simulons le modèle du dispositif à l’aide de COMSOL, un logiciel de calcul par éléments finis et nous fabriquons des prototypes pour faire des expériences.

 

RÉSULTATS:

 

L'équation de continuité sert à décrire le transport des porteurs générés en excès dans le substrat de silicium.  Des expériences préliminaires sur des prototypes ont validé l'idée de détection. Il a prouvé la possibilité de faire un spectromètre avec le principe de l'absorption de longueur d'onde générée par la détection des porteurs en excès en fonction de la profondeur. Par contre, les résultats de MATLAB ont montré une certaine différence par rapport aux expériences. L'analyse théorique a encore des difficultés à expliquer tous les détails des donnés expérimentales exactement. De nombreux efforts sont réalisés pour améliorer le processus théorique. Des simulations COMSOL sont également utilisées pour chercher les raisons de la différence.

 

 


 





ZHENG, Yushan

DIPLÔME: Ph.D.

 

 

TITRE:

 

Laboratoire sur puce pour la manipulation de particules biologiques in-situ par champ magnétique.

 

 

RÉSUMÉ:

 

Nous essayons de développer une haute efficacité à haute sensibilité et haute fiabilité CMOS dispositif basé LOC qui mêle manipulation magnétique de bio-particules et in-situ de détection inductive. Plus précisément, la première étape consiste à optimiser le réseau plan bobines sur substrat de verre, à l’égard de la topologie du réseau et le rapport des dimensions de chaque bobine, pour obtenir la meilleure efficacité, tout en manipulant des billes magnétiques dans les micros canaux. Aussi, nous proposons une méthode de direction inductive pour des billes magnétiques dans le canal, cette méthode est censée être basée inductance, haute sensibilité et haute fiabilité. Enfin, nous intègrerons un tableau de micro canaux et micro bobines avec CMOS basée sur la manipulation et des circuits de surveillance à réaliser un dispositif totalement intégré LOC.

 

 

PROBLÉMATIQUE:

 

Un laboratoire sur puce a certains avantages tels que le liquide volume de consommation, faible analyse plus rapide et de réponde de temps, plus sur plate-forme, etc. Jusqu’ầ présent, la plupart de ces études se concentrent sur la manipulation de terrain électrodes électriques basés et la capacité ou la détection de la résistance basée. Toutefois, un inconvénient majeur de cette méthode est que dans les applications biomédicales, certains effets électriques tels que les flux de courant ioniques, effets double couche et des gradients de potentiel à travers les membranes cellulaires et les parois des canaux peuvent endommager les cellules ou des dosages biologiques. Par conséquent, l’actionnement magnétique est très approprié pour des applications biomédicales, puisqu’un champ magnétique basse fréquence est sans danger pour les tissus biologiques.

 

 

MÉTHODOLOGIE:

 

Pour l’optimisation de la manipulation des bobines du tableau, nous projetons l’aide d’une analyse par éléments finis (FEA) pour modéliser et simuler les différentes topologies de réseau bobines et l’aspect ratio différent pour chaque bobine, de contrôler la dispersion du champ magnétique généré par les bobines, par la suite pour choisir la topologie spécifique et l’aspect ratio qui conduisent à de meilleures performances. Quant ầ la détection inductive, micro bobines dans le canal qui a une certaine structure peut être utilisée comme capteur inductif. Avec un soutien des circuits de conditionnement, de microparticules magnétiques, les micros canaux peuvent être détectés par ces capteurs inductifs. L’appareil entièrement intégré LOC combinera à base de verre technologie Microfluidique pour les micros canaux, de la technologie CMOS pour les circuits périphériques et flip-chip technologie d’emballage de fixation pour l’intégration de microsystèmes. Tous les dessins suivront les règles correspondantes du design industriel. La miniaturisation et l’intégration fonctionnelle mènera à des instruments portatifs qui peuvent être déployées sur le terrain ou appliquées en ligne dans le suivi de production.

 

 

RÉSULTATS:

 

Ce projet offre plusieurs fonctionnalités innovantes dans l’application de Lab-on-a-chip, de la topologie micro bobines optimale pour l’assemblage de puces, de la méthodologie de détection pour la conception de circuits.


 

SUBVENTIONS ET CONTRATS

 

Les projets de recherche mentionnés dans ce rapport sont, pour la plupart, financés par les subventions individuelles ou de groupe des chercheurs (montants annuels.)

 

Subventions, contrats et conventions de recherche individuelles

 

Chercheur

Organisme, Programme

Montant annuel

Période de

validité

Titre

Audet, Y.

CRSNG

15,300.00 $

2007 – 2011

«Integration of Surface Plasmon Polaritons into CMOS Circuits»

Bois, G.

CRSNG

125,000.00 $

2009 – 2014

«Design and Verification of Embedded Systems in the Context of the ESL Paradigm»

Boyer, F.R.

CRSNG

75,000.00 $

2006 – 2011

«Variable clock period for low power and high performance»

David, J.P.

CRSNG

15,000.00 $

2007 – 2012

«Description et synthèse automatique de réseaux de machines algorithmiques évoluées»

David, J.P

MITACS

15,000.00 $

2010-2011

«Implantation d'applications sur un modèle de

treillis de calcul pour FPGA

Accélération Québec»

David, J.P.,

MDEIE

300,000.00 $

2012 - 2014

«Détection de contenu  numérique connu a 40/100 Gbits Application a la détection de flux vidéos»

David,  J.P.,

CRSNG

90,000.00 $

2012 – 2017

«Implantation efficiente des applications (ou parties d’applications) adaptées a la technologie FPGA sans expertise spécialisée en conception de circuits»

Kashyap, R.

CRSNG

35,500.00 $

2008 – 2011

«Atom guidance in polymer fibres»

Kashyap, R.

FQRNT

19,500.00 $

2009 – 2011

«Non-linear optics based athermal broadband source for dual-wavelength OCT»

Kashyap, R.

CRSNG

52,500.00 $

2009 – 2011

«Ultra-long gratings»

Kashyap, R.

FQRNT

19,500.00 $

2009 – 2011

«Non-linear optics based athermal broadband source for dual-wavelength OCT»

Kashyap, R.,

FQRNT

73,500.00 $

2009-2012

Broadband NLO Wave guides

Kashyap, R.,

Gouvernement du Canada

1,400,000.00 $

2010-2017

Chaire de Recherche du Canada

Kashyap, R.,

NCE (CIPI)

40,000.00 $

2011

Dynamic Iris (Conf)

Kashyap, R.,

IEEE

7,500.00 $

2011

MID IR Fibres Conf.

Kashyap, R.,

NCE (CIPI)

7,500.00 $

2011

WFOPC 2011 Conf.

Kashyap, R.,

CRSNG

75,000.00 $

2011-2012

Hyppopodamus

Kashyap, R.

NSERC PGS for PhD student: J. Lapointe

105,000.00 $

2011-2013

Fs Laser Writing of Waveguides in Crystalline

Media

Kashyap, R.

NSERC

240,000.00 $

2011-2015

SOCRATES:Solid state Optical Cooling in Rare

earth

Kashyap, R.,

NSERC

25,000.00 $

2012

LIBERATE (Laser induced Breakdown)

Kashyap, R.,

Canada Council for the Arts : Killiam Research Fellowship

140,000.00 $

2012-2014

Philosopher

Kashyap, R.,

NSERC ENGAGE

394,000.00 $

2012-2015

QUDOS (QD Cooling)

Langlois, P.

CRSNG

81,000.00 $

2007 – 2012

«Méthodologies de conception pour processeurs spécialisés»

Langlois, P.

CRSNG

 

25,000.00 $

2011

«Computer vision system for inventory inspection

in a health-care setting

Programme de subventions d'engagement partenarial »

Langlois, P.,

CRSNG

18,000.00 $

2012-2016

Réduire la consommation d’énergie a la source : repenser la nature des processeurs dans les centres de traitement de données

Martel, S.

CRSNG

44,000.00 $

2007 – 2011

«Magnetotactic Bacteria-based Microrobots»

Martel, S.,

Chaire de Recherche Ecole Polytechnique

60,000.00 $

2011 – 2015

Développement et construction de plateformes de ciblage thérapeutique directe

Martel, S.

Natural Sciences and Engineering Research

82,000.00 $

2012-2016

Development of a local drug transport mechanism through the blood brain barrier via magnetic nanoparticles induced hypothermia

Nicolescu, G.

CRSNG

130,000.00 $

2009 – 2014

«Modelling and Validation for automatic Design of On-Chip Heterogeneous Systems»

Savaria, Y.

Chaire de Recherche du Canada

200,000.00 $

2008 – 2014

«Conception des microsystèmes et systèmes microélectroniques»

Savaria, Y.

CRSNG

56,000.00 $

2009 – 2013

«Tools and Design Techniques for High-Performance Low-Power MPSoCs Using Optimized Asip Architectures»

Sawan, M.

CRSNG

260,000.00 $

2012– 2017

«Medical Microsystems Dedicated for Wireless Sensing»

Sawan, M.

Chaire de Recherche du Canada

200,000.00 $

2009 – 2016

«Dispositifs médicaux intelligents»

 

 


 

Subventions, contrats et conventions de recherche de groupe

 

Chercheurs

Organisme

Programme

Montant annuel

Période de validité

Titre

Bell, S., Langlois, P.,

GEOIDE

100,000.00 $

2011

« Positioning geocoding and navigation indoors»

Bois, G., Boland, Nicolescu, G., Thibeault, C.

CRIAQ

81,000.00 $

2011-2014

Architecture Exploration for High-Integrated and Low-Cost Avionic Systems

Bois, G., Boland, Nicolescu, G., Thibeault, C.,

CAE Electronics

223,300.00 $

2011-2014

Architecture Exploration for High-Integrated and Low-Cost Avionic Systems

Bois, G., Boland, Nicolescu, G., Thibeault, C.,

CRSNG

312,900.00 $

2011-2014

Architecture Exploration for High-Integrated and Low-Cost Avionic Systems

Bois, G., Boland, Nicolescu, G., Thibeault, C.,

CMC Electronics

75,000.00 $

2011-2014

Architecture Exploration for High-Integrated and Low-Cost Avionic Systems

Bushmann, M., Sawan, M., et 20 autres

FRSQ

1 250,000.00 $

2007– 2011

«Groupe de recherche en Sciences et Technologies de la Santé»

Cheriet, F., Nicolescu, G., Martel, S.

NATEQ

48,000.00 $

2009– 2011

«Multimodal platform for visualization of vascular structures in guided surgery»

Cheriet, F., Langlois, P.,

CRSNG

125,919.00 $

2012-2014

«Système d’analyse automatique en temps r/el des images de la rétine»

Cherkaoui, O., Savaria, Y.

NSERC, Operating

145,849.00 $

2010 - 2012

«On the virtualization of the network equipment : NETVIRT»

Cherkaoui, O., Savaria, Y., et 3 autres

Prompt

100,000.00 $

2009– 2011

«Next-Generation Internet» (NGI)»

David, J.-P. et un autre

FCI

454,848 $

2011-2013

Laboratoire VESI (Very Efficient System Implemention)

David, J.-P., Feeley, M., Langlois, P.

Prompt

126,000.00 $

2009– 2011

«Calcul parallèle pour la visualization temps reel d’infrastructures représentées par des polynômes»

Duchesneau, M, François Boyer et 30 autres de 6 univ et 2 cegep

FQRSC

333.333$

2011-2015

« Observatoire interdisciplinaire de création et de recherche en musique (OICRM) »

El-Sheimy, N., Hunter, A., Langlois, P.

GEOIDE Phase IV

265,000.00 $

2009– 2012

«Multi-Sensors Systems for Tracking and Mobility Applications»

Fernandez J., David J.P.

FQRNT

108 000$

2010-2012

La sécurité de la technologie RFID utilisée pour

l'authentification

Projet de recherche en équipe

Kashyap, R., et 7 autres

CRSNG

1,150,000.00 $

2010-2013

Integrated Sensor Systems

Kashyap, R., et 8 autres

CFI Infrastucture

3,700,000.00 $

2010-2012

FABULAS

Kirk, Kashyap, R., et 7 autres

CRSNG

1,150,000.00

2010-2013

Integrated Sensor Systems

Laurin, J.-J., Savaria, Y., Boone, F., Fabry, P.

CRSNG

185,700.00 $

2009 2011

«Exploring simplifications to electronically steerable antennas for meteorological radars»

Lesur, O., Cheriet, F., Nicolescu, G., Jouvet, P.

FRSQ

185,000.00 $

2010 2012

«Diagnostic and treatment of pulmonar inflammation based on a system macro and micro imaging»

Martel, S. et 8 autres

CQDM

684,155.00 $

2011-2014

SN-38 (or5-FU) drug encapsulation in liposomes transported by magnetotactic bacteria for localized colorectal cancer treatment

Martel, S., et 5 autres

CIHR

72,971.00 $

2009– 2011

«MRI Based Targeting of Therapeutic Magnetic Micro Carriers for Chemoembolization of Liver Tumors»

Martel, S., Ferreira, A.

FQRNT-FQRSC

15,000.00 $

2009– 2011

«Optimisation d’une plateforme interventionnelle pour le ciblage thérapeutique»

Martel, S., et 3 autres

NSERC

111,000.00 $

2008 - 2011

«Magnetic catheter and guidewire navigation platform based on a MRI system for diagnostic or therapeutic»

McWalter, I., Savaria, Y., et 8 autres

FCI – Équipement

9 600,000.00 $

2009– 2014

«EmSYSCAN : Embedded Systems Canada»

Nicolescu, G., Bois, G., Tahar, S., Aboulhamid, M.

NSERC

213,000.00 $

2010– 2012

«3D System-Level Design for Next-Generation Ubiquitous Networks»

Nicolescu, G., Bois, G.,

STMicroelectronics

12,750.00 $

2011-2014

3D System-level design for next generation ubiquitous networks

Nicolescu, G., Bois, G.

CRSNG

76,500.00 $

2011-2014

3D System-level design for next generation ubiquitous networks

Pesant, G., Antonio, G., Généreux, Y., Nicolescu, G.

NATEQ

58,500.00 $

2009– 2011

«Constrains Programming for Embedded Systems Validation»

Savaria, Y. Langlois, P., Bois, G., David, J.-P.,

FQRNT

216,000.00 $

2012-2014

«Méthodes et outils pour faciliter l’exploitation des FPGA avec des tissus de calcul pré-synthétisés configurables et des processeurs hétérogènes. »

Savaria, Y., Bois, G., David, J.-P., Langlois, P., Aboulhamid, M.

FQRNT

349,895.00 $

2009– 2012

«Méthodes de conception pour l’exploitation de MPSoC haute performance»

Savaria, Y.,

Sawan, M.,

Blaquière, Y., Izquierdo, R.

Prompt – Québec

420,000.00 $

2009– 2011

«Experimental Validation of DreamWafer ™ Microfabrication with Thermal /Mechanical and Distributed Power Control Interconnecting Chips, PCBs»

Savaria, Y.,

Sawan, M.

Blaquière, Y., Izquierdo, R.

CRSNG Technocap

773,767.00 $

455,157.00 $

2009– 2011

2009– 2011

«Experimental Validation of DreamWafer ™ Microfabrication with Thermal /Mechanical and Distributed Power Control Interconnecting Chips, PCBs»

Savaria, Y., David, J.-P.,

Bois, G., Langlois, P., Aboulhamid, E.M.

FQRNT

149,895.00 $

2009– 2011

«Systèmes MPSoC extensibles: de l’exploration aux applications»

Savaria, Y., David, J.-P.,

Bois, G., Langlois, P., Aboulhamid, E.M.

FQRNT

Equipement

49,895.00 $

2009– 2011

«Systèmes MPSoC extensibles: de l’exploration aux applications»

Savaria, Y., Bois, G.,

FQRNT

99,000.00 $

2012-2015

«Systèmes MPSoC extensibles: de l exploration aux applications»:

Savaria, Y., Langlois, P.,

FQRNT

33,000.00 $

2012-2014

«Méthodes et outils pour faciliter l’exploitation des FPGA avec des tissus de calcul pré-synthétisés configurables et des processeurs hétérogènes»

Sawan, M., Lesage, F., L

Lassonde, M., Tardif, J-C

Nguyen, D., Deschamps, A., Denault, A., Lanthier, S.,

Instituts de recherche en Santé du Canada (IRSC)

1 745,500.00 $

2009– 2014

«A portable wireless near infrared spectroscopy system combined with electroencephalography for bedside monitoring of stroke and cardiac patients»

Sawan, M., Lesage, F., Lassonde, M., Tardif, J-C.

Nguyen, D., Deschamps, A., Denault, A., Lanthier, S.,

Instituts de recherche en Santé du Canada (IRSC)

614,500.00 $

2009– 2014

«A portable wireless near infrared spectroscopy system combined with electroencephalography for bedside monitoring of stroke and cardiac patients»

Sawan, M., Martinez, J., Leporé, F.,

NSERC, Strategic Grant

192,000.00 $

2009 - 2012

«Intracortical Multiunit Implant to Create Vision for Blinds: Integration and validation»

Sawan, M., et 9 autres

Fondation Canadienne pour l’Innovation (FCI)

4 000, 000.00 $

2009– 2012

«Design, test, assembly and packaging platform for the construction of innovative microsystems»

Sawan, M., Savaria, Y., Bois, G., et 24 autres

FQRNT

406,500.00 $

2008– 2014

«Analog, digital and RF circuits and systems design»

Sawan, M., et 27 autres

FQRNT, Research Center

412,500.00 $

2008 - 2012

«Microsystems Research Alliance of Quebec (ReSMiQ)»

Sawan, M., Kashyap, R., et 6 autres

CRSNG/CRIAQ

480,000.00 $

2009-2013

Sky Sensors

Sawan, M., and 6 others

MITACS

70,000 $

2010-2012

«Data Networks and Smart Sensors for Safety-Critical Avionics Applications»

Sawan, M., Savaria, Y., et 6 autres

CRIAQ-AVIO402, CRIAQ, NSERC-CRD, Mitacs, Bombardier, Thales

80,000.00 $

2010 - 2013

«Data Networks and Smart Sensors for Safety-Critical Avionics Applications»

Thibeault, C., Audet, Y., et 5 autres

CRSNG-MDEIE-CRIAQ-MITACS

318,833.00 $

2011-2013

«Méthodologie de conception, vérification et test des systèmes embarqués tolérants aux radiation»

Wu, K., Kashyap, R.

CRSNG

162,500.00 $

2008– 2012

«CREER»

Zhu, G., Liu, X., Savaria, Y.,

CRIAQ, MDEIE, Bombardier, Thales

185,000.00 $

2012-2013

«Développement d'un r éseau AFDX avec niveau de sûreté renforcé pour la prochaine génération de réseaux de communication d'aéronef»


 ÉQUIPEMENT ÉLECTRONIQUE

 

Le groupe GR2M possède un ensemble diversifié d’équipements électronique provenant de diverses subventions (FCI, NATEQ, NSERC, SCM/CMC) obtenues par les différents professeurs membre du GR2M.

 

ÉQUIPEMENT APPARTENANT AU GROUPE (www.GR2M.polymtl.ca)

 

Nb

Fabriquant

       Modèle

                   Description

 

1

AAEROFLEX

IFR34133

Générateur de signal RF 3GHz

1

Agilent

16034H

test fixture

1

Agilent

16047E

Test Fixtures 40 Hz to 110 MHz

1

Agilent

16048G

Test Leads

1

Agilent

16065A

Ext Voltage Bias Fixture

1

Agilent

16314A

balance /unbalance 4 terminal converter

1

Agilent

33250A

0-80MHz WaveForm Generator

1

Agilent

4294-61001

Impedance Analyser fixture 100W

1

Agilent

4294A

Impedance Analyzer 40Hz-110MHz

2

Agilent

E3631A

Power Supply

1

Agilent

E3641A

Power Supply

1

Agilent

E3642A

Power Supply

1

Agilent

E3646A

Power Supply

1

Agilent

E3647A

Power Supply

1

Agilent

N5771A

System dc power supply

1

AVR ICE

 

Microcontroler programmer and debugger

 

1

Barnstead / Thermolyne

F30430CM

Programmable furnace

 

1

BK

879

LCR meter

 

1

BK

4011

FUNCTION GENERATOR

 

1

BP microsystem

FP1700/240

Universal programmer

 

1

BP microsystem

SM100VQ

 

 

1

BP microsystem

SM128CS

 

 

1

BP microsystem

SM84UP

 

 

1

BP microsystem

SM56TB

TSSOP 56 PINS

 

1

Casira

 

Bluetooth

 

1

CMC/AMI

9444-04-R1

DUT BOARD

 

1

Data Physics

A-120

Power Supply

 

1

Data Physics

DP-V011

Shaker

 

1

Data Translation

DT983416012BNC

High Performance Multifunction Data acquisition USB

 

1

Fluke

177

True RMS Multimeter

 

1

HP

54124

Four Chanel test set DC to 50 Ghz

 

1

HP

16500B

Logic Analyzer

 

1

HP

16550A

100Mhz STATE/500Mhz TIMING

 

1

HP

1741A

Oscilloscope

 

1

HP

3580A

Spectrum Analyzer

 

1

HP

3709B

Constellation Analyzer

 

1

HP

54006A

Probe 6 GHz

 

1

HP

54007A

Accessory kit

 

1

HP

54120B

Sampling oscilloscope 50GHz

 

1

HP

54616B

Oscilloscope 500MHz

 

2

HP

54645D

Mixed signal oscilloscope 100MHz

 

1

HP

6202B

DC Power supply

 

1

HP

6202B

DC Power supply

 

1

HP

8111A

Pulse Function Generator 20 Mhz

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

HP

8553L

Spectrum Analyzer 110MHz

 

1

HP

 

Spectrum Analyzer 110MHz

 

2

Instek

PC-3030

Power Supply

 

1

Intel

EVAL80960VH

INTEL 80960VH Developpement board

 

1

Intel

KEIXP 12EBAB

Network processor development platform

 

1

INES

GPIB

PCI Card (dans un pc)

 

1

Karl Suss

10577065

Probe station

 

5

Karl Suss

PH120

Manual Probe Head

 

1

Karl Suss

PH600

SEMI-AUTO PROBE HEAD

 

2

Karl Suss

Z040-K3N-GSG-100

RF probe 100um dc-40 GHz,Z probe

 

2

Keithley

2002

Precision Multimeter

 

1

LEITCH

SPG-1680MB

Sync Pulse Generator  

 

1

Logical Device

QUV-T8Z

UV ERASER

 

1

METCAL

MX500P-11

Fer à souder surface mount

 

2

Microchip

ICD2

Microcontroler programmer

 

1

MIRANDA

DAC-100

4224 DAC  

 

1

Miranda

Expresso

 

 

1

MiroTech

VME+PC

Cabinet

 

1

Nahishige

MB-PB

Micromanipulator

 

1

NI

PXI-1042

PXI BUS

 

1

NI

PXI-6071E

Analog input multifunction

 

1

NI

PXI-6071E

Analog input multifunction

 

1

NI

PXI-8186

Embedded Controler P4 2.2 GHz

 

3

Philips

PE1514

Power Supply

 

1

PHILIPS

PM3055

Oscilloscope 20 Mhz

 

1

PolyScience

5L

Saline Bath

 

1

Sanyo

VCC3700

CAMERACOULEUR + POWER SUPPLY

 

1

SONY

PVM-1354Q

Télévision

 

1

SRS

SR560

low noise préamp.

 

1

SRS

SR785

Signal Analyzer

 

1

SUN

960

Data center cabinet

 

1

Tektronix

3002

Logic Analyzer

 

1

Tektronix

7623

Oscilloscope

 

1

Tektronix

011-0055-02

75 W feedthrough

 

1

Tektronix

012-1605-00

interface cable

 

1

Tektronix

067-0484-01

differential deskew fixture

 

1

Tektronix

CSA7404B

Communication Signal Analyser

 

4

Tektronix

FG502

Function Generator

 

1

Tektronix

P6139A

Sonde 500MHz

 

2

Tektronix

P6243

Probe 10X 1GHz

 

4

Tektronix

P6245

sonde 1.5Ghz 10X pour TDS7154

 

1

Tektronix

P6418

Sonde Logique 16ch

 

7

Tektronix

P6470

Pattern Generator v1.0 17 ch

 

2

Tektronix

P6810

SONDE LOGIQUE HAUTE PERFORMANCE 32ch

 

1

Tektronix

P7240

sonde active 5X

 

1

Tektronix

P7350

sonde différentielle 5GHz

 

1

Tektronix

PG506

Calibration Generator

 

1

Tektronix

SG503

Sine Wave Generator

 

1

Tektronix

TCA-1MEG

Adapteur d’impédece 50W 1MW

 

1

Tektronix

TCA-SMA

Adaptateur TCA-SMA

 

1

Tektronix

TCP202

Sonde de courant de précision DC

 

1

Tektronix

TCP312

Sonde de courant de précision AC/DC

 

1

Tektronix

TCPA300

Amplifier ac/dc current probe power supply

 

1

Tektronix

TDS3054B

Oscilloscope PORTABLE

 

4

Tektronix

TDS320

Oscilloscope 100Mhz 2ch.

 

1

Tektronix

TDS3AAM

Advanced Analysis Module (TDS3054B)

 

1

Tektronix

TDS3LIM

Limit Testing Module (TDS3054B)

 

1

Tektronix

TDS3VID

Advanved Video Module (TDS3054B)

 

1

Tektronix

TDS7154

Oscilloscope 1.5GHz 4ch.

 

2

Tektronix

TLA715

Analyseur logique 32Mb/ch 64ch/68ch ou 32ch+32stim.

 

3

Tektronix

TM503

power module mainframe for 3 plug-ins

 

3

Topward

TPS4000

Power Supply

 

1

vision eng.

lynx

LAMP

 

1

vision eng.

lynx

POWER SUPPLY

 

2

WAVETEK

19

Générateur de fonction

 

2

Weller

WES50

Soldering iron

 

2

Weller

WTCPT

Soldering iron

 

1

Wenworth labs

MP0901

Prober Microscope

 

3

Wenworth labs

PRO195LH

Prober Microscope

 

2

Xantrex

XT20-3

Power Supply

 

 

 

Laboratoire LASEM (GR2M/PolyStim/Lasem)

Nb

Fabricant

Modèle

Description

1

Heller Industries

1700EXL

Reflow Oven

1

Hesse-Knipps

Bondjet 810

Wedge Bonder

1

Hitachi

S-4700II

scanning electronic microscope

1

Jot automation

J202-01

Conveyor

1

Jot automation

J202-02-02

Pickup PCB Destacker

1

Jot automation

J204-02-031

Buffer/Inspection Conveyors 40’’

1

Jot automation

J204-02-022

Buffer/Inspection Conveyors 20"

5

Jot automation

J204-10.9/19

Side Shuttle Transport

1

Kulicke & Soffa

4524-d

Ball bonder

1

Metcal

1E6000

Optical Inspection Camera

1

Metcal

BGA 3101

Rework station

1

Metcal

BGA 3591

Rework station

1

Metcal

VPI-1000

Optical Inspection Camera

1

Oxford instrument

7200

EDX

1

Panasonic

CT-2086YD

Monitor

1

Perkin Elmer

--

Differential Scanning Calorimeter  Pyris Diamond DSC

1

PMR Systems

PMR-3500

Ultrasound Cleaner

1

Royce Instruments

System 580

Wire Bond Tester

1

Shreiber Engineering

trueton 500W

Water Chiller

1

Speedline technologie

UP1500

 

1

Techcon

TS9150

Solder Paste Dispenser

1

TYCO

APS-1H

Pick and Place Machine with WPS and flipper tool

1

TYCO

AVX-1500

Screen Printer

1

TYCO

MT-30

Matrix Tray Handler

1

Unitek Miyachi

LW500A-1

Nd:YAG laser

1

Unitek Miyachi

LW500AWS

5 axis Laser Welding Motion Control System WS

1

Virtual industries

SMD-VAC-GP

vacuum pen

 



ÉQUIPEMENT OBTENU VIA LA SCM (WWW.CMC.CA)

Nb

Fabriquant

Modèle

Description

1

Agilent

81200

Test fixture

1

Agilent

83712B

Synthesized CW generator 10MHz 20 GHz

1

Agilent

E4805B

VXI Timing module

1

Agilent

E8491B

  Firewire VXI Controller

8

ALESSI

MH5-L , MH5-R

Micropositioner

3

ALESSI

MMM-01, MMM-02

Micropositioner

1

Analogic

DB58750

Arb. Function Generator

1

CMC

REV0

VXI Test Fixture Rev.0 (bois)

1

CMC/AMI

TH1000

Mixed Signal Head Test

1

CMC/FERNBANK

MOD2

Rapid prototyping board V2

3

GGB

28

Picoprobe

6

GGB

40A-GSG-150-P

Microwave Probe

11

GGB

40A

Microwave Probe

2

GGB

dual output

Power supply (Dual Output)

2

GGB

mcw-9-4635

Microwave Probe multi chanel

3

HP

1144A

ACTIVE PROBE

1

HP

6623A

 Programmable P/S

1

HP

745i

 HPUX Test Station

1

HP

81130A

 Pulse Pattern Generator

1

HP

85033D

 Calibration Kit

1

HP

8593E

 Spectrum Analyser

1

HP

8753E

 Network Analyser

1

HP

E1401A

 VXI Mainframe

1

HP

E1406A

 HPIB Command module

1

HP

E1429B

 A/D Digitizer

1

HP

E1445A

 A/W Generator

1

HP

E1450A

 Timing Module

1

HP

E1452A

 Terminator PAT I/O

2

HP

E1454A

 Pattern I/O POD

1

HP

E3661A

 Instrument Rack

3

HP

E4841A

Gen/Anal. Module

1

IMS

XL100

 High Speed numeric universal tester

1

Iotech

SB488A

 Sun GPID CNTL

1

Keithley

KI236

 Source Measurement Unit

 

Rhode & Schwarz

NRVZ 1020.1809.02

Power Meter

 

Rhode & Schwarz

NRVZ-Z6

Power sensor

 

ÉQUIPEMENT INFORMATIQUE

 

Le groupe GR2M possède un ensemble diversifié d’équipements informatique provenant de diverses subventions (FCI, NATEQ, NSERC) obtenues par les différents professeurs membre du GR2M ou obtenus via la SCM / CMC en prêt ou de façon permanente en tant que contribution.

 

Équipement informatique prêté par la CMC (www.CMC.ca)

Nb

Fabriquant

Modèle

Description

 

16

IBM

IntelliStation M pro

2HD 80Go , 1-3Go  ram

16

SLPS

FPGA board

Altera, Xilinx

2

ARM

FPGA board

RPP

 

Équipement informatique appartenant au GR2M (www.GRM.polymtl.ca)

Nb

Fabriquant

Modèle

Description

Serveurs

2

Dell

R510

2 processeurs 4 cœurs, 32 Go  ram, disques interne raid de 8TB

1

Dell

T610

1 processeurs 4 cœurs, 49 Go  ram,

1

Adaptec

Snap server 550

Disk 3TB

1

SUN

Sun Blade V890

16 processeurs, 32 Go  ram

2

SUN

Sun Blade 1000

2 processeurs, 2 Go  ram

2

SUN

SUN V440

4 processeurs,  8 Go ram

2

SUN

Sun Storage XTA3511

Disques 6TB Go, raid-5

Postes et équipements

18

PC

Core2duo

Station du laboratoire VLSI

150

PC

Desktop

Pentium IV, Core 2 Duo, Quad et i7

2

HP

4050tn

Imprimante Laser Noir

3

HP

4M Plus

Imprimante Laser Noir

1

HP

5M

Imprimante Laser Noir

1

HP

4V

Imprimante Laser Noir  11x17

2

DELL

3100n

Imprimante Laser Couleur

1

DELL

5100n

Imprimante Laser Couleur

4

DELL

1700n

Imprimante Laser

 

 

LOGICIELS DE MICROÉLECTRONIQUE (EDA)

 

Un ensemble diversifié de logiciels de conception et de vérification de circuits intégrés est disponible dans les laboratoires du GR2M et du VLSI. Quelques-uns de ces logiciels sont achetés par le GR2M et d’autres, tel que Cadence, Mentor, Synopsys, Xilinx, sont distribués par la Société canadienne de microélectronique (SCM / CMC).

 

Logiciels disponibles au GR2M (www.GRM.polymtl.ca)

Compagnie

Logiciel

Cadence

 

ANLS, Assura, CCD, Confrml, ET, EXT, IC, ICC, IUS, MMSIM, Neocell, Neockt, OA, RC, SEV, SOC, SPB, TSI, VSDE

Agilent

ADS

Agility

Celoxica

Aldec

VHDL

Altera

Quartus

Ansys

Ansys, Workbench

ARM

ARM Developper Suite

Coware

Processor Designer

Forte

ForteDS

Matworks

Matlab, Simulink

Mentor Graphics

Calibre, DFT, HDS, PADS, ModelSim,

COMSOL

COMSOL

Synopsys

 

Astro, Astrorail, NS (Nanosim), SYN (Core Synthesis Tools), FM (Formality), HSIM, HSPICE, STAR SIM, Sentaurus, Y-2006, Z-2007,

Synplicity

Synplify

Tensilica

Xtensa

Virage

Mem compiler

Xilinx

ISE, EDK, CHIPSCOPE, PlanAhead


PUBLICATIONS ET RÉALISATIONS

 

Articles de revues acceptés pour publication         

 

[A-1]          GIRODIAS, B., GHEORGHE, L., BOUCHEBABA, Y., NICOLESCU, E., ABOULHAMID, E., PAULIN, P., LANGEVIN, M., «Integrating Memory Optimization with Mapping Algorithms for MPSoC», accepté à IEEE Transaction on Embedded Computing Systems Journal, 2012.

[A-2]          LE BEUX, S., OCONNOR, I., NICOLESCU, G., BOIS, G., PAULIN, P., «Reduction Methods for Adapting Optical Network on Chip Topologies to 3D Architectures», accepté à Journal of Microprocessors and Microsystems, ELSEVIER, décembre 2012.

[A-3]          MARTEL, S., «Combining pused and DC gradients in a clinical MRI-based microrobotic platform to guide therapeutic agents in the vascular network», accepté Int. Journal of Advanced Robotic Systems (IJARS), 2012.

[A-4]          MAHVASH MOHAMMADI, H., LANGLOIS, J.M.P., SAVARIA, Y., «A Pattern-Based Directional Interpolation Intra-Field Deinterlacing Algorithm», IEEE Transactions on Consumer Electronics, Mai 2012.

[A-5]          OULD BACHIR, T., DAVID, J.-P., «Self-alignment schemes for the implementation of addition-related floating pont operators», accepté à ACM Trans. Reconfigurable Technologies. 26 pages

[A-6]          VIDAL, G., MARTEL, S., «Characterization by magnetophoresis of therapeutic microcarriers relying on embedded nanoparticles to allow navigation in the vascular network», accepté Journal of Micro-Bio Robotics (JMBR)

 

Articles de revues publiés de septembre 2011 à décembre 2012

 

[P-1]           AHLAWAT, M., TEHRANCHI, A., PANDIYAN, K., CHA, M., KASHYAP, R., «Tunable All-Optical Wavelength Broadcasting in a PPLN with Multiple QPM Peaks», Optics Express, vol. 20, No. 24, 2012, pp. 27425-27433

[P-2]           AUBERTIN, P., LANGLOIS, J.M. Pierre, SAVARIA, Y., «Real-Time Computation of Local Neighbourhood Functions in Application-Specific Instruction-Set Processors», IEEE Trransactions on VLSI Systems, Vol. 20, No. 11, Nov. 2012, pp. 2031–2043.

[P-3]           AWWAD, F.R., NEKILI, M., SAWAN, M., «A novel theory on parallel repeater-insertion methodologies for long-on-chip interconnects», Journal of Circuits Theory and App. vol. 40, issue 7, 2012, pp. 693-708.

[P-4]           BILODEAU, G.A., TORABI, A., LEVESQUE, M., OUELLET, C., LANGLOIS, J.M.P., CARMANT L., «Body temperature estimation of a moving subject from thermographic images», Machine Vision and Applications, vol. 23, No. 2, février 2012, pp. 299-311.

[P-5]           BLANCHETTE, H.F., OULD-BACHIR, T., DAVID, J.-P., «A State-Space Modeling Approach for the FPGA-Based Real-Time Simulation of High Switching Frequency Power Converters», IEEE Transactions on Industrial Electronics, vol. 59, issue 12, 2012, pp. 4555-4567.

[P-6]           BOSTANI, A., TEHRANCHI, A., KASHYAP, R., «Engineering of effective second-order nonlinearity in uniform and chirped gratings », JOSA B, vol. 29, issue 10, 2012 pp. 2929-2934.

[P-7]           BOUCHEBBABA, Y., PAULIN, P., NICOLESCU, G., «MpAssign: A Framework for Solving the Many-Core Platform Mapping Problem», Software-Practice and Experience, Design Technology for Heterogeneous Embedded Systems, 2012, pp. 197-221.

[P-8]           CAO, Y, ZHU, Y., RONG, G., LIN, Z., WANG, G., GU, Z., SAWAN, M., «Efficient Optical Pattern Detection for Microcavity Sensors Based Lab-on-a-Chip», IEEE Sensors Journal, 2012, vol. 12, No. 6, pp. 2121-2138.

[P-9]           CHEBLI, R., HASANUZZAMAN, M., HAIDAR, A., SAWAN, M., «Successive-divider-line ADC dedicated to low-power medical devices», Microelectronics Journal, vol. 43, No. 10 2012, pp. 670-679.

[P-10]       CHEN, W.Z., SAWAN, M., «Multidimensional Challenges in Building Microsystems for Massively Parallel Wireless Neurorecording», IEEE Solid State Circuits, volume 4, issue 2, 2012, p. 71

[P-11]       DECA, R., CHERKAOUI, O., SAVARIA, Y., «Rule-Based Network Service Provisioning», Journal of Networks, Vol.7, No. 10, Oct. 2012, pp. 1493–1504.

[P-12]       GIRODIAS, B., GHEORGHE, L., BOUCHEBABA, Y., NICOLESCU, G., ABOULHAMID, E., PAULIN, P., LANGEVIN, M., «Integrated Memory Optimization with Mapping Algorithms for MPSoC» ACM Transaction on Embedded Computing Systems Journal, vol. 11, no. 3, septembre 2012, pp. 64:1 – 64:26.

[P-13]       GOSSELIN, B., HOSSEINI-HYAYAT, S., QUOTB, A., SAWAN, M., «Hardware Implementation of Wavelet Transforms for Real-time Detection and Compression of Biopotentials in Neural Implants», Journal of Current Development in Theory and Applications of Wavelets, 2011, vol. 5, No. 1, pp. 1-34.

[P-14]       HASHEMI, S., SAWAN, M., SAVARIA, Y., «A High-Efficiency Low-Voltage CMOS Rectifier for Harvesting Energy in Implantable Devices», IEEE Transactions on Biomedical Circuits and Systems, Vol.6, No. 4, août 2012, pp. 326–335.

[P-15]       KAMRANI, E., LESAGE, F., SAWAN, M., «Fully On-Chip Integrated Photodetector Front-End Dedicated to Real-Time Portable Optical Brain Imaging», Optics and Photonics Journal, décembre 2012, vol. 2, No. 4, pp. 300-313.

[P-16]       KAMRANI, F., FOROUSHANI, A., VAZIRUPOUR, M., SAWAN, M., «Detecting the Stable, Observable and Controllable States of the Human Brain Dynamics», Journal of Medical Imaging (OJMI), 2012, vol. 6, No. 2, pp. 120-132.

[P-17]       KAMRANI, F., FOROUSHANI, A., VAZIRUPOUR, M., SAWAN, M, «Efficient Hemodynamic States Stimulation using fNIRS Data with the Extended Kalman Filter and Bifurcation Analysis of Balloon Model»,Journal of Biomedical Science and Engineering (JBiSE), 2012, vol. 5 No. 11, pp. 609-628.

[P-18]       KHER, S., CHAUBEY, S., KASHYAP, R., OAK,S.M., «Turnaround-Point long period fiber gratings (TAP-LPGS) as high radiation dose sensors», IEEE Photonics Technology Letters, volume 24, issue 9, mai 2012, pp. 742-744.

[P-19]       KOWARZYK, G., BÉLANGER, N.; HACCOUN, D.; SAVARIA, Y., « Efficient Search Algorithm for Determining Optimal R=1/2 Systematic Convolutional Self-Doubly Orthogonal.Codes», IEEE Transactions on Communications, Vol. 60, No 1, janvier 2012, pp. 3–8.

[P-20]       LAREAU, E., POULIOT, P., LESAGE, F., NGUYEN, D., SAWAN, M., «Multichannel Wearable System Dedicated for Simultaneous Electroencephalography/Near-Infrared Spectroscopy Real-Time Data Acquisitions», The Journal of Biomedical Optics, septembre 2011, vol. 16, No. 9, pp. 096014-1 – 096014-14.

[P-21]       LORANGER, S., IEZZI, V.L., KASHYAP, R., «Demonstration of a novel ultra-high frequency picoseconds pulse generator using an SBS Frequency comb and phase-locking » Opt. Exp. Vol. 20 issue 17, aout 2012, pp. 19455-19462.

[P-22]       MAHVASH, H., GHAFAR-ZADEH, E., SAWAN, M., «An image processing approach for blind mobility facilitated through visual intracortical stimulations» Artificial Organs, vol. 36, No. 7, juillet 2012, pp. 616-628.

[P-23]       MAHVASH, MOHAMMADI, H., SAVARIA, Y., LANGLOIS, J.M.P., «Enhanced Motion Compensated Deinterlacing Algorithm», IET Image Process, Oct. 2012, pp. 1041-1048.

[P-24]       MAHVASH, MOHAMMADI, H., SAVARIA, Y., LANGLOIS, J.M.P., «Hybrid Deinterlacing Algorithm Using Reverse Motion Estimation», IEEE Transactions on Circuits and Systems for Video Technology, Vol. 5, No. 7, Oct. 2011, pp. 611–618.

[P-25]       MARTEL, S., «Bacterial microsystems and microrobots», Biomedical Microdevices, 2012, vol. 14, issue 6, pp. 1033-1045.

[P-26]       MARTEL, S., «Journey of the center of tumor», IEEE Spectrum, octobre 2012, vol. 49, issue 10, pp. 48-53.

[P-27]       MBAYE, M.M., BÉLANGER, N., SAVARIA, Y., PIERRE, S., «Loop Acceleration Exploration for Application-Specific Instruction-Set Processor Architecture Design», IEEE Transactions on VLSI Systems, Vol.20, No. 4, April 2012, pp. 684–697.

[P-28]       MILED, A., MASSICOTTE, G., SAWAN, M., «Low-Voltage Lab-on-Chip for Micro and Nanoparticles Maninpulation and Detection: Experimental Results», Analog Int. Circuits and Signal Proc., vol. 73, No. 3, 2012, pp. 707-717.

[P-29]       MILED, A., SAWAN, M., «Dielectrophoresis-Based Integrated Lab-on-Chip for Nano and Micro-Particles Manipulation and Capacitive Detection», IEEE Transactions on Biomedical Circuits and Systems, vol. 6, issue 2, 2012, pp. 120-132.

[P-30]       MOUNAIM, F., SAWAN, M., «Toward A Fully Integrated Neurostimulator with Inductive Power Recovery Front-End», Trans. On Biomedical Circuits and Systems, vo. 6, No. 4, 2012, pp. 309-318.

[P-31]       NADIRI, A., MANNOR, S., SAWAN, M., GROSS, W., «Delayed Stochastic Decoding of LDPC Codes», The IEEE-Trans. On Signal Processing, 2011, vol. 59, No. 11, pp. 5617-5626.

[P-32]       NEMOVA, G., KASHYAP, R., «Laser Cooling with TM3+ Doped Oxy-Fluoride Glass Ceramic», Nanophotoincs IV, Proceedings of the SPIE, volume 8424, 2012, pp. 842421-842421-7.

[P-33]       NEMOVA, G., KASHYAP, R., «Laser Cooling with PbSe colloidal quantum dots», J. pt. Soc. Am. 29, 2012, pp. 676-682.

[P-34]       NGUYEN, D., TEMBLAY, JU., POULIOT, P., VANNASING, P., FLOREA, O., CARMANT, L., LEPORE, F., SAWAN, M., LESAGE, F., «Non-invasive continuos EEG-fNIRS recording of temporal lobe seizures», Elseiver Epileysy Research, novembre 2011, vol. 99, No. 1-2, pp. 112-126.

[P-35]       NOURIVAND, A., AL-KHALILI, A.J.; SAVARIA, Y., «Postsilicon Tuning of Standby Supply Voltage in SRAMs to Reduce Yield Losses Due to Parametric Data-Retention Failures», IEEE Transactions on VLSI Systems, Vol. 20, No 1, Janvier 2012, pp. 29–41.

[P-36]       PONPONNEAU, P., SEGURA, V., SAVADOGO, O., LEROUX, J.-C., MARTEL, S., «Annealing of magnetic nanoparticles for their encapsulation into microcarriers guided by vascular magnetic resonance navigation», Journal of Nanoparticle Research, 2012, vol. 14, pp. 1307-1320.

[P-37]       POULIN, J., LIGHT, P.S., KASHYAP, R., LUITEN, A.N., «Optimized coupling of cold atoms into a fiber using a blue-detuned hollow-beam funnel», Physical Review A vol. 84, issue 5, 2012, pp. 053812-1 – 053812-10.

[P-38]        POULIOT, P., TREMBLAY, J., ROBERT, M., VANNASING, P., LEPORE, F., LASSONDE, M., SAWAN, M., NGUYEN, D.K., LESAGE, F., «Nonlinear Hemodynamic Responses in Human Epilepsy: A Multimodal Analysis with FNIRS-EEG and fMRI-EEG», J. Neurosciences Methods, novembre 2011, vol. 204, No. 2, pp. 326-340.

[P-39]        SAFI-HARB, M., SAWAN, M., MIRABBASI, S., «An Implantable Seizure-Onset Detector Based on a Dual-Path Single-Window Count-Based Technique for Closed-Loop Applications», IEEE J. on Emerging and Selected Topics in Circuits and Systems, Vol.1, issue 4, 2011, pp. 603-612.

[P-40]       SAFI-HARB, M., SAWAN, M., MIRABBASI, S., «A Time-Based Technique for Testing LC-Tank Oscillators», IEEE-TCAS-1, vol. 59, No. 9, 2012, pp. 1849-1959.

[P-41]       SAWAN, M., «Talks on Brain-Machine-Brain Interfaces for Massively Parallel Neurorecording and Microstimulation at SSCS-Tokyo and Kansai», IEEE Solid-State Circuits, vol. 4, issue 4, 2012, pp. 51-53.

[P-42]       SAWAN, M., «Brain-Machine-Brain Wireless Interfaces for Intracortical Biosensing and Subsequent Treatments», IEEE Solid-States Circuits, vol. 3, issue 4, 2011, pp. 34-37.

[P-43]       SEMMAOUI, H., DROLET, J., LAKHASSASSI, A., SAWAN M., «Setting Adaptive Spike Detection Threshold for Smoothed- TEO Based on Robust Statistics Theory», The IEEE-Trans. on Biomed. Eng., Vol. 59, No 2, 2012, pp. 474-482.

[P-44]       TARIQUS-SALAM, M., MOUNAIM, F., NGUYEN, D., SAWAN, M., «Low-Power Circuit Techniques for Epileptic Seizures Detection and Subsequent Neurostimulation», Journal of Low Power Electronics, 2012, vol. 8, No. 2, pp. 133-145.

[P-45]       TARIQUS-SALAM, M., MIRZAEI, M., LY, M.S., NGUYEN, D., SAWAN, M., «An Implantable Closedloop Asynchronous Drug Delivery System for the Treatment of Refractory Epilepsy», J. of Neural Systems and Rehab Engineering, vol. 20, No. 4, 2012, pp. 432-442.

[P-46]       TARIQUS-SALAM, M., SAWAN, M.., NGUYEN, D., «Implantable Closed-loop Epilepsy Prosthesis: modeling, Implementation and on Validation», J. of Emerging Tech. in Computing, vol. 8, No. 2, article 9, Juin 2012, pp 9:1-9.18.

[P-47]       TARIQUS-SALAM, M., SAWAN, M., NGUYEN, D., «A Novel Low-Power Implantable Epileptic Seizure-Onset Detector», The IEEE-Trans. On BioCAS, 2011, vol. 5, No. 6, pp. 568-578.

[P-48]       TEHRANCHI, A., KASHYAP, R., «Flattop Efficient Cascaded X bm(2bm) (SFG + DFT) Based Wideband Wavelength Converters using Step-Chirped Gratings», Journal of Seleted Topics in Quantum Electronics, vol. 18, issue 2, 2012, pp. 785-793.

[P-49]       TEHRANCHI, A., MORANDOTTI, R., KASHYAP, R., «Efficient flattop ultra-wideband wavelength converters based on double-pass cascaded sum and difference frequency generation usging engineered chirped gratings», Optics Express vol. 19, issue 23, 2012, pp. 22528-22534.

[P-50]       THIBEAULT, C., PICHETTE, S., AUDET, Y., SAVARIA, Y., RUFENACHT, H., GLOUTNAY, E., BLAQUIERE, Y., MOUPFOUMA, F., BATANI, N., «On Extra Combinational Delays in SRAM FPGAs Due to Transient Ionizing Radiations», IEEE Transactions on Nuclear Science, 2012, vol. 59 No. 6, pp. 2959-2965.

[P-51]       TRAJKOVIC, J., ABDI, S., NICOLESCU, G., GAJSKI, D., «Automated Generation of Custom Processor Core from C Code», Journal of Electrical and Computer Engineering, vol. 2012, doi: 10.1155/2012/862469, 26 p.

[P-52]       TREMBLAY, J., SAVARIA, Y., ZHU, G., THIBEAULT, C. et al., «A System Architecture for Smart Sensors Integration in Avionics Applications», SAE International Journal of Aerospace, Vol. 5, No 1, octobre. 2012, pp. 189–195.

[P-53]       TRENTIN, D., SAVARIA, Y., ZHU, G., THIBEAULT, C., «An AFDX Switch Fabric Hardware Core for Avionic Network Prototyping and Characterization», SAE International Journal of Aerospace, Vol. 5, No 1, octobre 2012, pp. 181–188.

[P-54]       WERTHEIMER, M.R., SAOUDI, B., AHLAWAT, M., KASHYAP, R., «In-situ Thermometry in Noble Gas Dielectric Barrier Discharges at Atmospheric Pressure», Plasma Processes and Polymers, octobre 2012, vol. 9, issue 10, pp. 955-967

[P-55]       WERTHEIRMER, M.R., AHLAWAT, M., SAOUDI, B., KASHYAP, R., «Accurate In-situ Gas Temperature Measurements in Dielectri Barrier Discharges at Atmospheric Pressure», Appl. Phys. Lett. Vol. 100, issue 20,  2012 pp. 201112 – 201112-4

 

Articles de revues publiés de septembre 2010 à août 2011

 

[P-56]       AHLAWAT, M., TEHRANCHI, A., XU, C.Q., KASHYAP, R., «Ultra broadband flattop wavelength conversion aid on cascaded SFG-DFG using pump detuning in QPM-LN waveguides», Applied Optics, 2011, pp. E108-E111.

[P-57]       AL-TERKAWI, H., SAWAN, M., SAVARIA, Y., «A Low-Power Asynchronous Step-Down DC-DC Converter for Implantable Devices», IEEE Transactions on Biomedical Circuits and Systems, Vol.5, Iss.3, juin 2011, pp. 292 – 301.

[P-58]       ALLAIRE, F.C.J., LANGLOIS, J.M.P., LABONTE, G., TARBOUCHI, M., «Two-Tiered Resolution Real-Time Path Evaluation», Proc. of International Conference on Evolutionary Computation, 24-26 octobre 2010, Valencia, Espagne, pp. 321-326.

[P-59]       BERCHTIKOU A, LAVOIE J, POENARIU V, SAOUDI B, KASHYAP, R., and Wertheimer M R, «Thermometry in Noble Gas Dielectric Barrier Discharges at Atmospheric Pressure using Optical Emission Spectroscopy», IEEE Transactions on Dielectrics and Electrical Insulation Vol. 18, No. 1, février. 2011, pp. 24-33.

[P-60]       BERGERON, E., PERRON, L.D., FEELEY, M., DAVID, J-P., «Logarithmic-Time FPGA Bitstream Analysis: A Step Towards JIT Hardware Compilation», ACM Transactions on Reconfigurable Technology and Systems, mai 2011, vol. 4, No. 12, pp. 12.1-12-27

[P-61]       BOIS, G., MOSS, L., FILION, L., FONTAINE, S., «3D System-level design for next generation ubiquitous networks Nicolescu, G., Bois, G., Tahar, S., Aboulham, M. Architecture Exploration for High-Integrated and Low-Cost Avionic Systems Level Design and Verification in Practice», Springer, 2010, pp. 273-308.

[P-62]       BOULAIS, E., FANTONI, J., CHATEAUNEUF, A., SAVARIA, Y., MEUNIER, M., «Laser Induced Resistance Fine Tuning of Integrated Polysilicon Thin Film Resistors», IEEE Transactions on Electron Devices, Brief, Vol. 58, No. 2, février 2011, pp. 572–575

[P-63]       CHEBLI, R., SAWAN, M., EL-SANKARY, K., SAVARIA, Y. «High-voltage DMOS integrated circuits using floating-gate protection technique». Analog Integrated Circuits and Signal Processing, 2010, 62:2, pp. 223-235.

[P-64]       DAIGNEAULT, M.A., DAVID, J.-P., «A High-Resolution Time-to-Digital Converter on FPGA Using Dynamic Reconfiguration», IEEE Transactions on Instrumentation and Measurement, juin 2011, vol. 60, No. 6, pp. 2070-2079.

[P-65]       ETHIER, S., SAWAN, M., «Exponential Current Pulse Generation for Efficient Very High-Impedance Multisite Stimulation», IEEE-Trans. on BioCAS, Vol. 5, No. 1, 2011, pp. 30-38.

[P-66]       GAGNÉ M, KASHYAP, R., «New nanosecond Q-switched Nd:YAG laser fifth harmonic for fast hydrogenfree fiber Bragg gratings fabrication», Article in Press in Opt. Commun., vol. 283, issue 24, 15 décembre 2010, pp. 5028-5032

[P-67]       GAO, S., CHABINI, N., AL-KHALILI, D., LANGLOIS, J.M.P., «FPGA-based efficient design approaches for large-size two’s complement squarer’s», The Journal of Signal Processing Systems, Vol. 58, No. 1, 2010, pp. 3-15.

[P-68]       GHAFAR-ZADEH, E., CHOWDHURY, S.F., ALIAKBAR, A., CHODAVARAPU, V., LAMBROSE, R., BEITAL, L., «Handheld impedance biosensor system using engineered proteinaceous receptors». Biomedical Microdevices, 2010, vol. 12 issue 6, pp. 967-975.

[P-69]       GHAFAR-ZADEH, E., SAWAN, M., CHODAVARAPU, V.P., «Differential Monitoring of Bacteria Growth using CMOS Capacitive Sensor», Trans. on Biomedical Circuits & Systems, août 2010, vol. 4, issue 4, pp. 232-238.

[P-70]       GOSSELIN, B., SAWAN, M., «Linear-Phase Delay Filters for Ultra-Low-Power Signal Processing in Neural Recording Implants», IEEE Trans. on Biomedical Circuits & Systems, 2010, vol. 4, issue 3, pp. 171-180.

[P-71]       GOSSELIN, B., SAWAN, M. «A low-power integrated neural interface with digital spike detection and extraction». Analog Integrated Circuits and Signal Processing. 64:1, pp. 3-11

[P-72]       GOSSELIN, B., HOSSEIRI-KHAYA, S., QUOTB, A., SAWAN, M., «Hardware Implementation of Wavelet Transforms for Real-time Detection and Compression of Biopotentials in Neural Implants», Journal of Current Development in Theory and Applications of Wavelets, Vol. 5, No. 1, avril 2011, pp. 1-34.

[P-73]       HAMZA, J., SAWAN, M., JAIN, P.K., «Suppression of Common-Mode Input Electromagnetic Interference Noise in Dc-DC Converters using Active Filtering Method», IET Power Electronics, août 2011, vol. 4, No. 7, pp. 776-784.

[P-74]       HASAN, S.R., BÉLANGER, N., SAVARIA, Y., AHMAD, O., «All Digital Skew Tolerant Synchronous Interfacing Methods for High-Performance Point-to-Point Communications in Deep Sub-Micron SoCs», Journal Integration, the VLSI Journal, Vol. 44, No. 1, Jan. 2011, pp. 22–38.

[P-75]       HASAN, S.R., BÉLANGER, N., SAVARIA, Y., AHMAD, O., «Crosstalk-Glitch Gating: A Solution for Designing Glitch Tolerant Asynchronous Handshake Interface Mechanisms for GALS Systems», IEEE Transactions on Circuits and Systems I, Vol. 57, No. 10, Oct. 2010, pp. 2696–2707

[P-76]       ISLAM, A., IQBAL, U., LANGLOIS J.M.P., NOURELDIN, A., «Implementation methodology of embedded land vehicle positioning using an integrated GPS and multi-sensor system,» Integrated Computer-Aided Engineering, vol. 17, No. 1, 2010, pp. 69-83.

[P-77]       KASHYAP, R., «A Living Prostehtic Iris », Ocular Times, mai-juin 2011, vol. 10, No. 4, pp. 16-20.

[P-78]       LAPOINTE, J., HARHIRA, A., DURETTE, J.F., BEAULIEU, S., SHAAT, A., BOULOS, P.R., KASHYAP, R., «An ocular prothesis withch reacts to light», Proc. Of Spie, février 2011, vol. 7885, pp. 788512-1- 788512-7

[P-79]       LAREAU, E., POULIOT, P., LESAGE, F., NGUYEN, D., SAWAN, M., «Multichannel Wearable System Dedicated for Simultaneous Electroencephalography/Near-Infrared Spectroscopy Real-Time Data Acquisitions», The Journal of Biomedical Optics, Vol. 16, Issue 9, septembre 2011, pp 096014-1 -096014-14

[P-80]       LE BEUX, S., NICOLESCU, G., BOIS, G., BOUCHEBABA, Y., LANGEVIN, M., PAULIN, P., «Combining Mapping and Partitioning Exploration for NoC-Based Embedded Systems», Journal of Systems Architecture, juin 2010, vol. 56 issue 7, pp. 223-232

[P-81]       LE BEUX, S., O’CONNOR, I., NICOLESCU, G., BOIS, G., PAULIN, P., «Multi-Optical Network on Chip for Large Scale MPSoC», IEEE Embedded Systems Letters, septembre 2010, vol. 2, issue 3, pp. 77-80.

[P-82]       MEMARZADEH-TEHRAN, H., DIAZ-BOLADO, A., LAURIN, J.-J., KASHYAP, R., «Bandwidth Improvement in a Resonant Optical MST-Probe Applicable to Near-Field Imaging», IEEE Antennas and Wireless Propagation Letters, vol. 10, 2011 pp. 411-414.

[P-83]       MENDEZ, A., SAWAN, M., «Chronic Monitoring Of The Bladder Volume: Critical Review And Assessment of Measurement Methods», Canadian J. of Urology, Vol. 8, No. 1, 2011, pp. 5504-16

[P-84]       MOHAMMADI, H. M., SAVARIA, Y., LANGLOIS, P., «A Hybrid Video Deinterlacing Algorithm Exploiting Reverse Motion Estimation» IET Journals, Jan. 2011, pp. 611–618

[P-85]       MOUNAIM, F., SAWAN, M., «Integrated High-Voltage Inductive Power and Data Recovery Front-End Dedicated to Implantable Devices», IEEE-Trans. on BioCAS, Vol. 5, No. 3, 2011, pp. 283-291.

[P-86]       POUPONNEAU, P., LEROUX, J.-C., SOULEZ, G., GABOURY, L., MARTEL, S., «Co-encapsulation of magnetic nanoparticles and doxorubicin into biodegradable microcarriers for deep tissue targeting by vascular MRI navitation», Biomaterials, mai 2011, vol. 32, issue 13, pp. 3481-3486.

[P-87]       POUPONNEAU, P., SAVADOGO O., NAPPORN T., YAHIA L'H., MARTEL S., «Corrosion study of single crystal Ni-Mn-Ga alloy and Tb0.27Dy0.73Fe1.95 alloy for the design of new medical microdevices», Journal of Materials Science: Materials in Medicine, février 2011, vol. 22, issue 2, pp. 237-245.

[P-88]       SAFI-HARB M., SAWAN, M., MIRABBABI, S., «An Implantable Seizure-Onset Detector Based on a Dual-Path Single-Window Count-Based Technique for Closed-Loop Applications The IEEE J. on Emerging and Selected Topics in Circuits and Systems, 2011, vol. 1, issue 4, pp. 603-612.

[P-89]       SIMARD, G., SAWAN, M., MASSICOTTE, D., «High-Speed OQPSK and Efficient Power Transfer Through Inductive Link for Biomedical Implants», IEEE Transactions on Biomedical Circuits and Systems, 2010, vol. 4, issue 3, pp. 192-200.

[P-90]       SINGH, R., AUDET, Y., GAGNON, Y., SAVARIA, Y., BOULAIS, E., MEUNIER, M., «A Laser-Trimmed Rail-to-Rail Precision CMOS Operational Amplifier», IEEE Transactions on CAS II, Vol. 58, No. 2, février 2011, pp. 75-79.

[P-91]       TABATABAEI, S., LAPOINTE, J., MARTEL, S., «Shrinkable hydrogel-based magnetic microrobots for interventions in the vascular network», Advanced Robotics, Special Issue on Cordless Technology for Milli/Micro/Nano Robtos, mai 2011, vol. 25, No. 6., pp.1049-1067

[P-92]       TARIQUS-SALAM, M., SAWAN, M., NGUYEN, D., «A Novel Low-Power Implantable Epileptic Seizure-Onset Detector», IEEE-Trans. on BioCAS, Vol. 5, Issue 6, 2011, pp. 568-578.

[P-93]       TEHRANCHI A, KASHYAP, R., «Wideband wavelength conversion using double-pass cascaded χ(2) χ(2) interaction in loss waveguides», Opt. Commun., 2010 vol. 283, pp. 1485-1488.

[P-94]       TEHRANCHI, A., MORANDOTTI, R., KASHYAP, R., «Efficient flattop ultra-wideband wavelength converters based on double-pass cascaded sum and difference frequency generation using engineered chirped gratings», Optics Express volume 19 No. 23, 2011, pp. 22528-22534.

[P-95]       TEHRANCHI, A., KASHYAP, R., «Efficient wavelength conversion with flattop response based on double-pass cascaded X(2)iin periodically poled LINbO3 waveguides» Photons, vol. 7 No. 2, 2010, pp. 23-25

[P-96]       VAZUQUEZ G V, HARHIRA A, KASHYAP, R., Bosisio R G, «Micromachining by laser ablation: building blocks for a multiport integrated device», Opt. Commun., 2010, 283(14), pp. 2824-2828.

 

Articles de conférence de septembre 2011 à décembre 2012

 

[C-1]           AFKHAMI, F., TAHERKHANI, S., MOHAMMADI, M., MARTEL, S., «Encapsulation of magnetotactic bacteria for targeted controlled delivery of anticancer agents for tumor therapy», 33rd Annual International Conference on IEEE Engineering in Medicine and Biology Society (EMBC), Boston, USA, 30 août – 3 septembre 2011, pp. 6668-6671.

[C-2]           AHLAWAT, M., TEHRANCHI, A., PANDIYAN, K., CHA, M., KASHYAP, R., «Tunable Wavelength Broadcasting in a PPLN with Multiple QPM Peaks», Nonlinear Photonics, Colorado, USA 17-20 juin 2012, pp. 1-3.

[C-3]           AL-BAYATI, Z., AIT MOHAMED, O., HASAN, S.R., SAVARIA, Y., «Design of a C-Element Based Clock Domain Crossing Interface», Proc. 24th Int. Conf. on Microelectronics (ICM), Algiers, Algérie, 17-20 décembre 2012, pp. 1-4.

[C-4]          AL-BAYATI, Z., AIT MOHAMED, O., SAVARIA, Y., «Probabilistic Model Checking of Clock Domain Crossing Interfaces», NEWCAS 2012, Montréal, Canada, 17-20 juin 2012, pp. 193-196.

[C-5]           ALLARD M., GROGAN P., SAVARIA Y., DAVID J.-P. « Two level Configuration for FPGA: A New Design Methodology Based on a Computing Fabric », ISCAS 2012, Seoul, Korée, 20-23 mai 2012, pp. 265-268.

[C-6]           AL-TERKAWI, O, WALDER, A., BLAQUIERE, Y., SAVARIA, Y., «Propagating Analog Signals Through a Fully Digital Network on an Electronic System Prototyping Platform», ISCAS 2012, Seoul, Korée, 20-23 mai 2012, pp. 1983-1986.

[C-7]           ANANE, A. ABOULHAMI, M., SAVARIA, Y., « System Modeling and Multicore Simulation Using Transactions », SAMOS XII Greece, 16-19 juillet 2012, pp. 41-50.

[C-8]           ATHOW, J.L., ROZON, C., AL-KHALILI, D., LANGLOIS, J.M.P., «A CNFET-based characterization framework for digital circuits», Proceedings of IEEE ICECS, Beirut, 11-14 décembre 2011, pp. 681-684

[C-9]           BAIAD, M.D, KUMAR, A., TRIPATHI, S.M., KASHYAP, R., «Pure surface Plasmon-polariton optical sensor using an H-cross-section fiber and Bragg gratings», Photonics North, Montréal, Canada, 6-8 juin 2012, vol. 8412, pp. 84120J-1 – 84120J-10.

[C-10]       BEN CHEICK, T., BELTRAME, G., NICOLESCU, G., CHERIET, F., TAHAR, S., «Parallelizaton Strategies of Canny Edge Detector for Multi-core CPUs and Many-cores GPUs» Proc. of Ieee NEWCAS 2012, Montréal, Canada, 17-20 juin 2012, pp. 49-52.

[C-11]       BENHAMMADI, S., AUDET, Y., DIACONU, V., «A Spectro Reflectance Camera for iin Vivo Human Blood Evaluation», IEEE NEWCAS 2012 Conference, Montréal, Canada, 17-20 juin 2012, pp. 533-536.

[C-12]       BOSTANI, A., TEHRANCHI, A., KASHYAP, R., «Engineering of apodized chirped gratings based on desired second-order nonlinearity function », Nonlinear Photonics, Boulder, Colorado, USA, 17-21 juin 2012, pp. 1-3

[C-13]       BOSTANI, A., TEHRANCHI, A., MORANDOTTI, R., KASHYAP, R., «Apodization of broadband SHG response in an aperiodically poled lithium niobate using a tightly-focused Gaussian beam», Photonics North, Montréal, Canada, 6-8 juin 2012, pp. 1-3.

[C-14]       DROLET, J., SEMMAOUI, H., SAWAN, M., “Low-Power Energy-Based CMOS Digital Detector for Neural Recording Arrays, IEEE-BIOCAS, San Diego, USA, Nov 2011, pp. 13-16.

[C-15]       El-Mahi, O., BELTRAME, G., NICOLESCU, G., PESANT, G., «Embedded System Verification Through Constraint-Based Scheduling», Proc. of HLDVT, Huntington Beach, Californie, USA, 9-10 novembre 2012, pp. 92-95.

[C-16]       FARAH, R., QIFENG G., LANGLOIS, P.; BILODEAU, G.-A., SAVARIA, Y., «A tracking algorithm suitable for embedded systems implementation», ICECS, Beirut, 11-14 décembre 2011, pp. 256–259.

[C-17]       FARHAT, R., LANGLOIS, J.M.P., BILODEAU, G.A., «RAT: Robust Animal Tracking», IEEE Robotics and Sensors Enivronments, septembre 2011, pp. 65-70.

[C-18]       FELFOUL, O., MOHAMMADI, M., GABOURY, L., MARTEL, S., «Tumor targeting by computer controlled guidance of Magnetotactif Bacteria acting like autonomous microrobots», IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS), San Francisco, USA, 15-30 septembre 2011, pp. 1304-1308.

[C-19]       FOURMIGUE, A., BELTRAMME, G., NICOLESCU, G., ABOULHAMID, M., «A linear-time approach for the transient thermal simulation of liquid-cooled 3D ICs», Proc. Of CODES+ISSS 2011, Taipei, Taiwan, 9-14 octobe 2011, pp. 197-205

[C-20]       GAGNE, M., KASHYAP, R., «New nanosecond q-switched 213 and 224-nm lasers for fiber Bragg grating wirting in hydrogen-free optical fibers», Photonics West, Sans Francisco, USA, 21-26 janvier 2012, vol. 8243, pp. 824314-1-824314-6.

[C-21]       GHAFAR-ZADEH, E., GHOLAMZADEH, B., AWWAD, F.R., SAWAN, M., «On-Chip Electroporation Characterizatin, Modeling and Experimental Results», IEEE-EMBS, San Diego, USA, 28 août – 1er septembre 2012, pp. 2583-2586.

[C-22]       GHAITH BANY, H., OTMANE AIT, M., SYED RAFAY, H., SAVARIA, Y., «Identification of Soft Error Glitch-Propagation Paths: Leveraging SAT solvers» ISCAS 2012, Séoul, Korée, 20-23 mai 2012, pp. 3258-3261.

[C-23]       GOSSELIN, F., ZHOU, D., LALANDE, V., VONTHRON, M., MARTEL, S., «Miniature ferromagnetic robot fish actuated by a clinical magnetic resonance scanner», IEEE/RSJ Int. conf. on Robots and Systems (IROS), San Francisco, USA, 15-30 septembre 2011, pp. 901-906.

[C-24]       HAMAD, G. B., MOHAMED, O. A.; HASAN, S. R., SAVARIA, Y., «SEGP-Finder: Tool for identification of Soft Error Glitch-Propagating paths at gate level», ICECS, Beirut, 11-14 décembre 2011, pp. 358–361.

[C-25]       HAMADY, M., KAMRANI, E., SAWAN, M., «Modeling CMOS PIN photodiode using COMSOL», 24th International Conference on Microelectronics (ICM) 2012, Alger, Algérie, 16 -20 décembre 2012, pp. 1-4.

[C-26]       HASANUZZAMAN, M., RAUT, R., SAWAN, M., «A High-Impedance Microelectrode Driver Dedicated for Visual Intracortical Microstimulation», Int. IEEE-MWSCAS 2012, Boise, USA, 5 – 8 août 2012, pp. 222-225.

[C-27]       HASHEMI, S., KARIMIAN, M., ZHANG, J., NADERI, A., SAWAN, M., «Generic Architecture for a Self-Powered Smart Sensor Interface in Avionic Applications», SAE, Phoenix, USA 30 octobre 2012, pp. 196-200

[C-28]       HAWI, F., SAWAN, M., «Phase-based passive stereovision systems dedicated to cortical visual stimulators», 30th International Conference on Computer Design (ICCD), 30 septembre – 3 octobre 2012, pp. 256-262.

[C-29]       HOSSEINI-KHAYAT, S., BAHMANYAR, P., RAHIMINEZHAD, E., SAWAN, M., «Ultra-low Power Encryption Engine for wireless Implantable Devices», IEEE-MWSCAS 2012, Boise, USA, 5-8 août 2012, pp. 150-153.

[C-30]       IBRAHIM, O., BELTRAME, G., NICOLESCU, G., PESANT, G., «Embedded System Verification Through Constraint-Based Scheduling», IEEE International High Level Design, Validation and Test Workshop, Huntington Beach, California, 9-10 novembre 2012, pp.

[C-31]       KAMRANI, E., LESAGE, F., SAWAN, M., «Premature Edge Breakdown Prevention Techniques in CMOS APD Fabrication», Int. IEEE-NEWCAS, Montreal, Canada, juin 2012, pp. 345-348.

[C-32]       KAMRANI, E., SAWAN, M., «Fully Integrated CMOS Avalanche Photodiode and Distributed-Gain TIA for CW-fNIRS», IEEE-BIOCAS, San Diego, USA, novembre 2011, pp. 317-320.

[C-33]       KAMRANI, E., YUN, S.H.A., LESAGE, F., SAWAN, M., «Near Infra-Red Light Detection Using Silicon Avalanche Photodiodes: Design Challenges in Standard CMOS Technology», SPIE, 11th Int’l Conf. On Infrared Optoelectronics: Materials and Devices (ICIOMD) Chicago, USA, 4-8 septembre 2012, pp. 54-55.

[C-34]       KAMRANI, E., YUN, S.H.A., LESAGE, F., SAWAN, M., «Optimal-Adaptive Control Sysem for Low-Noise, Low-Power and Fast Photodection in Functional Near Infra-Red Spectroscopy», Proc. of SPIE-ICIOM, Chicago, USA, 4-8 septembre 2012, pp. 56-57

[C-35]       KAMRANI, E., YUN, S.H.A., LESAGE, F., SAWAN, M., «State-of-the-Art Logarithmic Transimpedance Amplifier with Automatic Gain Control and Ambient Light Rejection for fNiRS», Proc. of SPIE-ICIOM, Chicago, USA, 4-8 septembre 2012, pp. 58-59.

[C-36]       KAMRANI, E., YUN, S.H.A., LESAGE, F., SAWAN, M., «Fast Photodetection in Functional Near Infra-Red Spectroscopy», Proc. of SPIE-ICIOM, Chicago, USA, 4-8 septembre 2012, pp. 60-61.

[C-37]       KAR, G.C., SAWAN, M., «Low-power high-voltage charge pumps for implantable microstimulators», IEEE International Conference on Circuits and Systems (ISCAS) Séoul, Korée, 20-23 mai 2012, pp. 2247-2250.

[C-38]       KARGARAN, E., SAWAN, M., MAFINEZHAD, K., NOBAVATI, H., «Design of 0.4V, 386nW OTA Using DTMOS Technique for Biomedical Applications», Int. IEEE MWSCAS 2012, Boise, Idaho, USA, 5-8 août 2012, pp. 270-273.

[C-39]       KARIMIAN, M., HASHEMI, S.S., NADERI, A., SAWAN, M., «Impact of gradient error on switching sequence in high-accuracy thermometer-decoded current-steering DACs», Symposium on Circuits and Systems (ISCAS) 2012,Séoul, Korée, 20-23 mai 2012, pp. 1279-1282.

[C-40]       KOWARCYK, G., BELANGER, N., SAVARIA, Y., «A GPGPU-based software implementation of the PBDI deinterlacing algorithm»,, Beirut, 11-14 décembre 2011, pp. 780–783

[C-41]       LAFLAMME-MAYER, N. Blaquière, Y., SAWAN, M., «A Large range and fine tuning configurable Bandgap Reference Dedicated to Wafer-Scale Systems», IEEE-ICECS, Beirut, Lebanon, 11-14 décembre 2011, pp. 25-28.

[C-42]       LALANDE, V., GOSSELIN, F.P., MARTEL, S., «Catheter steering using a Magnetic Resonance Imaging  system», , 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Boston, USA, 30 août – 3 septembre 2011, pp. 1874-1877.

[C-43]       LAPOINTE, J., MARTEL, S., «Poly (N-Isopropylacrylamide) beads synthesis with nanoparticles embedded for the implementation of skrinkable medical microrobots for biomedical applications», , 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Boston, USA, 30 août – 3 septembre 2011, pp. 3800-3803.

[C-44]       LE BEUX, S., TRAJOVICH, J., NICOLESCU, G., O’CONNOR, I., BOIS, G., PAULIN, P., «Optical Ring Network-on-Chip (ORNoC) Architecture and design methodology», DATE 2011, Grenoble, France, 14-18 mars 2011, pp. 1-6.

[C-45]       LORANGER, S., LAMBIN IEZZI, V., KASHYAP, R., «A Simple Picosecond Tuneable Pulse Generator at GHz Frequencies Using an SBS Frequency Comb», American Optics and Photonics Conference, San Sebastaio, Brésil, 10-13 novembre 2012, pp. 19-22

[C-46]       MARTEL, S., «Characterization by magnetophoresis of therapeutic microcarriers relying on embedded nanoparticles to allow navigation in the vascular network», 3M-NANO, Xian, Chie,  29 août – 1er septembre 2012, pp. 54-58

[C-47]       MARTEL, S., «Signal and image processing in medical nanorobitcs: The art of tracking and imaging therapeutics navigated in the vascular network towards the region to be treated», 11th Int. Conf.  on Information Science, Signal Processing and their Applications, (ISSPA), Montréal, Canada, 2-5 juillet 2012, pp. 611-617.

[C-48]       MARTEL, S., «Roles of nanoparticles during magnetic resonance navigation and bacterial propulsion for enhanced drug delivery in tumors», 4th Biomedical Engineering Conference (BME), Ho Chi Minh City, Vietnam, 8-12 janvier 2012, pp. 61-64.

[C-49]       MILED, A., SAWAN, M., «Electrode Robutness in Artificial Cerebrospinal Fluid for Dielectrophoresis-based LoC», IEEE-EMBC, San Diego, USA, 28 août au 1er septembre 2012, pp. 1390-1393.

[C-50]       MIRBOZORGI, S.A., GOSSELIN, B., SAWAN, M., «A Transculateneous Power Transfr Interface Based on a Multicoil Indcutive Link», Annual Int. IEEE-EMBC, Sans Diego, USA, août 2012, pp. 1659-1662.

[C-51]       MIRZAEI, M., SALAM, M.T., NGUYEN, D.K., SAWAN, M., «An Integrated low-power asynchronous epileptic seizure detector», Biomedical Circuits and Systems Conference (BioCAS) Hsinchu, Taiwan, 28-30 novembre 2012, pp.152-155.

[C-52]       MOAZZENI, S., COWAN, G., SAWAN, M., «A Comprehensive Study on the Power-Sensitivity Trade-off in TRF Receivers», Int. IEEE-NEWCAS, Montreal, Canada, juin 2012, pp. 401-404.

[C-53]       MOAZZENI, S., COWAN, G., SAWAN, M., «A 28µW sub-sampling based wake-up receiver with -70dBm sensitivity for 915 MHz ISM band applications» ISCAS 2012, Internatioal Symposium on Circuits and Systems, Seoul, Korée, 20-23 mai 2012, pp. 2797-2800.

[C-54]       MOKRANI, N., FELFOUL, O., ZARREH, F.A., MOHAMMADI, M., ALOYZ, R., BATIST, G., MARTEL, S., «Magnetotactic bacteria penetration into multicellular tumor spheroids for targeted therapy», , 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Boston, USA, 30 août – 3 septembre 2011, pp. 4371-4374.

[C-55]       MORADI, A., SAWAN, M., «A 20 Mb/s 0.084 nJ/bit ISM-Band Transmitter Dedicated to Medical Sensor Networks», IEEE-ICECS, IEEE International Conference on Electronics, Circuits and Systems,  Séville, Espagne, 9-12 décembre 2012, pp. 165-168

[C-56]       MOSS, L., GUERARD, H., DARE, D., BOIS, G., «An ESL Methodology for Rapid Creation of Embedded Aerospace Systems using Hardware-Software Co-design on Virtual Platforms», SAE 2012 Aerospace Electronics and Avionics Systems Conference, Phoenix Arizona, USA,, 30 octobre – 1er novembre 2012, doi: 10.4271/2012-01-2133.

[C-57]       MOSS, L., GUERARD, H., DARE, D., BOIS, G., «Rapid Design Exploration on an ESL Framework featuring Hardware-Softfare Codesign for ARM Processor-based FPGAs», Space CoDesign, Antipolis, France, juillet 2012, pp. 1-6

[C-58]       NABOVATI, G., GHAFAR-ZADEH, E., AWWAD, F., SAWAN, M., «Fully Digital Low-Power Self-Calibrating BPSK Demodulator for Implantable Biosensors», IEEE-MWSCAS 2012, Boise, USA, aout 2012, pp. 354-357.

[C-59]       NEMOVA, G., LORANGER, S., SOARES DE LIMA, F.E., KASHYAP, R., «Laser cooling of solids with nanoparticles», Photonics North Montréal, Canada, 6-8 juin 2012, http://dx.doi.org/10.1117/12.2001317

[C-60]       NEMOVA, G., LORANGER, S., SOARES DE LIMA, F.E., KASHYAP, R., «Quantum dots doped in glass as a new tool for laser cooling of solids», ICOOPMA2012, Nara, Japon, 3-7 juin 2012, p. 124

[C-61]       NEMOVA, G., KASHYAP, R., «Laser cooling with TM3+ -doped nano-crystal of oxy-fluoride glass ceramic» SPIE Photonci Europe 2012, Brussels, Belgique, 16 avril 2012, volume 8424, pp. 842421-1-842421-7.

[C-62]       NISHI, R., ZHU, G., SAVARIA, Y., «Optimal Scheduling Policy for AFDX End-Systems with Virtual Links of Identical Bandwidth Allocation Gap Size», IEEE Canadian Conference on Electrical and Computer Engineering (CCECE), Montréal, Canada, 29 avril – 2 mai 2012, pp. 1-4

[C-63]       OLAMAEI, N., CHERIET, F., MARTEL, S., «3D reconstruction of microvasculature in MRI using magnetic microparticles», 11th Conf. on Information Sciences, Signal Processing and their Applicatios, Montréal, Canada, 2-5 juillet 2012, pp. 490-495.

[C-64]       OLAMAEI, N., CHERIET, F., MARTEL, S., «Accurate positioning of magnetic microparticles beyond the spatial resolution of clinical MRI scanners using susceptibility artifacts», 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Boston, USA, 30 août – 3 septembre 2011, pp. 2800-2803.

[C-65]       OUDET, J.P., BOLAND, J.F., BOIS, G., «Novel Methodologies to support the Architectural Exploration of Safety-Critical Systems», Research and Innovation for Transport Systems of the Future 0RTF 2012), Paris, France, novembre 2012, pp. 1-4

[C-66]       OULD BACHIR, T., DUFOUR, C., BELANGER, J., MAHSEREDJIAN, J., DAVID, J.P., «Effective floating-point calculation engines intended for the FPGA-based HIL simulation», IEEE International  Symposium on Industrial Electronics (ISIE2012) Hangzhou, China, 28-31 mai 2012, pp. 1363-1368

[C-67]       PENG, K., MARTEL, S., «Preliminary design of a SIMO Fuzzy controller for steering microparticles inside blood vessels by using a magnetic resonance imaging system», 33rd Annual International Conference on IEEE Engineering in Medicine and Biology Society (EMBC), Boston, USA, 30 août – 3 septembre 2011, pp. 920-923

[C-68]       POLLINA, M., LECLERC, Y., CONQUET, E., BOIS, G., MOSS, L., «The cassert set of tools for engineering (taste): demonstrator, Hw/Sw Codesign and future evolution», Embedded Real Time Software and Systems (ERTS2 2012), Toulouse, France, 1-3 février 2012, pp. 1-4.

[C-69]       PONS, J-F., BRAULT, J-J., SAVARIA, Y., «An FPGA Compatible Asynchronous Wake-Up Receiver for Wireless Sensor Networks», NEWCAS 2012, Montréal, Canada, 17-20 juin 2012, pp. 373-376.

[C-70]       PONS, J-F., BRAULT, J-J., SAVARIA, Y., «State-Holding Free NULL Convention Logic™ », -MWSCAS 2012, Boise, USA, 5 – 8 août 2012, pp. 322-325.

[C-71]       RODRIGUEZ-PEREZ, A., RUIZ-AMAYA, J., DELGADO-RESTITUTO, M., SAWAN, M., «A Self-Calibration Circuit for a Neural Spike Recording Channel», IEEE-BIOCAS, San Diego, USA, 10-12 novembre 2011, pp. 464-467

[C-72]       SALAM, M.T., HAMIE, A.H., SAWAN, M., NGUYEN, D.K., «A Smart Biological Signal-Responsive Focal Drug Delivery System for Treatment of Refractory Epilepsy», CIMTEC, Italie, juin 2012, pp. 39-46.

[C-73]       SAOUDI, B., AHLAWAT, M., WERTHEIMER, M.R., KASHYAP, R., «Mesure in-situ de la température cinétique de décharges a barrière diélectrique entretenues dans des gaz nobles a la pression atmosphérique», Plasma-Québec, Montréal, Canada, 28-30 mai 2012, pp. 2-7

[C-74]       SAWAN, M., HASHEMI, S., KARIMIAN, M., KOUBAA, Z., ZHANG, J., ZHANG, Y., KASHYAP, R., EL-SAYED, M., EL-GAMAL, M., «Configurable Smart Sensors Interface and Novel Position Sensors for Avionic Applications», Int’l Symposium, Research and Innovation for Transport Sysems of the Future, Paris, France, 13-15 novembre 2012, pp. 1-4

[C-75]       SAWAN, M., TARIQUS-SALAM, M., GELINAS, S., LE LAN, J., LESAGE, F., NGUYEN, D.K., «Combined NIRS-EEG remote recordings for epilepsy and stroke real-time monitoring», IEEE Internaitonal Symposium on Circuits and Systems (ISCAS), Seoul, Korée, 20-23 mai 2012, pp. 13-16

[C-76]       TABATABAEI, S. N., DUCHEMAIN, S., GIROUARD, H., MARTEL, S., «Towards MR-navigable nanorobotic carriers for drug delivery into the brain», IEEE Int. Conf. on Robotics and Automation (ICRA), St. Paul, Minnesota, USA, 14-18 mai 2012, pp. 727-732

[C-77]       TARIQUS-SALAM, M., DESGENT, DUSS, S., CAMANT, L., NGUYEN, D., SAWAN, M., “New Subdural Electrode Contacts for Intracerebral Electroencephalographic Recordings: Comparative Studies on Neural Signal Recording in Vivo, IEEE-BIOCAS, San Diego, USA, Nov 2011, pp. 241-244.

[C-78]       TAWK, M., ZHU, G., SAVARIA, Y., LIU, X., HU, F. «A Tight End-to-End Delay Bound and Scheduling Optimization of an Avionics AFDX Network», Digital Avionics Systems Conference, Seattle, 16–20 Oct. 2011, pp. 7B3-1 – 7B3-10.

[C-79]       VAKILI, S., GIL, D. C., LANGLOIS, P., SAVARIA, Y.; BOIS, G., «Customized embedded processor design for global photographic tone mapping», ICECS, Beirut, 11-14 décembre 2011, pp. 382–385.

[C-80]       VONTHRON, M., LALANDE, V., BRINGOUT, G., TREMBLAY, C., MARTEL, S., «A MRI-based integrated platform for the navigation of microdevices and microrobots», IEEE/RSJ Int. conf. on Robots and Systems, San Francisco, USA, 15-30 septembre 2011, pp. 1285-1290.

[C-81]       VONTHRON, M., LALANDE, V., MARTEL, S., «A MRI-based platform for catheter navigation», 33rd Annual International Conference on IEEE Engineering in Medicine and Biology Society (EMBC), Boston, USA, 30 août – 3 septembre 2011, pp. 5392-5395.

[C-82]       ZARRABI, H., AL-KHALILI A.J., SAVARIA Y., «Activity management in battery-powered embedded systems: A case study of ZigBee® WSN», ICECS, Beirut, 11-14 décembre 2011, pp. 727–731.

[C-83]       ZHANG, J., HASHEMI, S., KARIMIAN, M., KOUBAA, Z., SAWAN, M., «Power Recovery from Data Line in Avionic Applications», IEEE-ICM, Algérie, 16-20 décembre 2012, pp. 1-4

[C-84]       ZHOU, H., ALICI, G., THAN, T.D., WELHUA, L., MARTEL, S., «Magnetic propulsion of a spiral-type endoscopie microrobot in a real small intestine», IEEE/ASME International Conference on Advanced Intelligent Mechatronic, Kaohsiung, Taiwan, 11-14 juillet 2012, pp. 63-68.

 

Articles de conférence de septembre 2010 à août 2011.

 

[C-85]       AHLAWAT, M., TEHRANCHI, A., PANDIYAN, K., CHA, M., KASHYAP, R., «Multiple-QPM SHG and SFG in Bulk PPLN with a Central Aperiodic Domain », Proceedings of the 7th International Workshop on Optical Fibres and Passive Components, Montreal, Canada, 13-15 juillet 2011.

[C-86]       AHLAWAT, M., TEHRANCHI, A., XU, C.Q., KASHYAP, R., «Ultra-broadband, flattop wavelength conversion based on cascaded SfG-DFG using pump tuning in QPM_LN waveguides», Photonics India, Guwahati, Inde, décembre 2010.

[C-87]       AL-TERKAWI-HASIB, O., SAWAN, M., SAVARIA, Y., « Fully Integrated Ultra-Low-Power Asynchronously Driven Step-Down DC-DC Converter », IEEE-ISCAS, Paris, France, 30 mai-2juin 2010, pp. 877-880.

[C-88]       BAIAD, M. D., TRIPATHI, S.M., KUMAR, A., NENOVA, G., KASHYAP, R., «Integrated optical-bio-sensor based on pure surface Plasmon-polariton excited by a waveguide grating» 7th Workshop on Fibre and Optical Passive Components, Montréal, Canada, 13-15 juillet 2011, pp. 1-5.

[C-89]       BERRIAH, O., BOUGATAYA, M., LAKHSSASSI, A., BLAQUIÈRE, Y., SAVARIA, Y., « Thermal Analysis of a Miniature Electronic Power Device Matched to a Silicon Wafer », NEWCAS 2010, Montreal, Canada, 20-23 mai 2010, pp. 129-132.

[C-90]       BILODEAU, G.-A., GHALI, R., DESGENTS, S., FARAH, R., ST-ONGE, P.-L., DUSS, S., LANGLOIS J.M.P., CARMANT, L., «Where is the rat? Tracking in low contrast thermographic images», IEEE Workshop on Object Tracking and Classification Beyond the Visible Spectrum, Colorado, USA, 20-25 juin 2011, pp. 55-60.

[C-91]       BOSTANI, A., TEHRANCHI, A., KASHYAP, R., «Study of apodization on Aperiodically Poled Lithium Niobate (APPLN) for Second Harmonic Generation (SHG)», Proceedings of the 7th International Workshop on Optical Fibres and Passive Components, Montreal, Canada, 13-15 juillet 2011, pp. 1-4.

[C-92]       BOUGATAYA, M., BERRIAH, O., LAKHASSASSI, A., DAHMANE, A.O., BLAQUIÈRE, Y., SAVARIA, Y., NORMAN, R., PRYTULA, R., «Thermo-Mechanical Analysis of a Reconfigurable Wafer-Scale Integrated Circuit», IEEE International Conference on Electronics, Circuits and Systems (ICECS), Athens, Greece, 12–15 décembre 2010, pp. 315–318.

[C-93]       BRINGOUT, G., LALANDE, V., GOSSELIN, F.P., MARTEL, S., «Safety evaluation of magnetic catheter steering with upgraded magnetic resonance imaging system», International Conference on Engineeering in Medicine and Biology Society (EMBC), Buenos Aires, Argentine, 31 août – 3 septembre 2010 pp. 6702-6705.

[C-94]       CARMEL-VEILLEUX, T., BOLAND, J.-F., BOIS G., «A Novel Low-Overhead Flexible Instrumentation Framework for Virtual Platforms», Proc. Of 22nd IEEE International Symposium on Rapid System Prototyping (RS), mai 2011, pp. 92-98.

[C-95]       CHEBLI, R., SAWAN, M., «Adjustable Input Self-Strobed Delay Line ADC Intended to Implantable Devices», IEEE-ISCAS, Buenos-Aires, Argentina, 15-18 mai 2011, pp. 2297-2300.

[C-96]       DE LIMA FILHO, E., NEMOVA, G., SAAD, M., BOWMAN, S., KASHYAP, R., «Sensing of laser cooling with optical fibres», Proceedings of the 7th International Workshop on Optical Fibres and Passive Components, Montreal, Canada, 13-15 juillet 2011, pp. 1-5

[C-97]       FELFOUL, O., MOHAMMADI, M., MARTEL, S., «In vivo magnetotactic bacteria targeting» The 6th International Conference on Microtechnologies in Medicine and Biology (MMB 2011), Lucerne, Suisse, 4-6 mai 2011, pp. 1-4

[C-98]       FEREYDOUNI FOROUZANDEH F., AIT MOHAMED, O., SAWAN, M., «Delay Calculation and Error Compensation in TBCD-TDM Communications Protocl for Wireless Body Sensor Networks» NEWCAS 2010, Montréal, Canada, 20-23 juin 2010, pp. 17-20.

[C-99]       GAGNÉ, M., KASHYAP, R., «Photosensitivity of hydrogen-free optical fibers exposed to nanosecond 213 nm pulses», OSA Conference BGPP, Karlsruhe, Germany, June 21-24, 2010, pp. 1-4

[C-100]   GAGNE-TURCOTTE, A., MILED, A., SAWAN, M., «An Improved Multiphysics Modelling Approach for Dielectrophoresis-Based Cell Separation» IEEE-CCECE, Niagara Falls, Canada, 8-11 mai 2011, pp. 1387-1390.

[C-101]   GIL D. C. FARAH R, LANGLOIS P., BILODEAU G.-A., SAVARIA Y., «Comparative Analysis Of Contrast Enhancement Algorithms in Surveillance Imaging» ISCAS Conférence IEEE International Symposium on Circuits and Systems Conference (ISCAS), Rio de Janeiro, Brazil from 15–18 mai 2011 , pp. 849–852

[C-102]   HARHIRA, A., LAPOINTE, J., KASHYAP, R., «High sensitivity inline fiber Mach-Zehnder interferometer bend sensor using a twin core fiber», Proc. SPIE, Vol. 7653, 4th European Workshop on Optical Fibre Sensors, Porto, Portugal, 8-10 septembre 2010, pp. 765315-1-765315-4.

[C-103]   HARHIRA, A., CARVALHO, I. C. S., GUAY, F., DAIGLE, M., KASHYAP, R., Holographic technique of LPG fabrication with a 10.6 µm radiation », ICOOPMA 2010, Budapest, Hungary, 15-21 août 2010, pp. 1-4

[C-104]   HARHIRA, A., LAPOINTE, J., KASHYAP, R., «Optimization of a Simple Bend Sensor based on Multimode Interference and a Twin Core Fiber Mach-Zehnder Interferometer», Conference on Optical Sensors, Toronto, Canada, juin 2011, pp. 1-4

[C-105]   HASANUZAMAN, M., SAWAN, M., RAUT, R., «An Energy-Efficient and Multiple-Waveform Stimuli Generator for Visual Cortex Microstimulation», IFESS, Vienna, Austria, 8-12 septembre 2010, pp. 1-4.

[C-106]   KHAMSEHASHARI, E., AUDET, Y., «Mode Detection of a Linear-Logarithmic Current-Mode Image Sensor», IEEE NEWCAS 2011, Bordeaux, France, 26-29 juin 2011, pp. 538-541.

[C-107]   LAFLAMME-MAYER, N., SIMARD, G., BLAQUIERE, Y., SAWAN, M., «A Dual-Power Rail, Low-Dropout, Fast-Response Linear Regulator Dedicated to a Wafer-Scale Electronic Systems Prototyping Platform», IEEE-NEWCAS, Bordeaux, France, 26-29 juin 2011, pp. 438-441.

[C-108]   LAMBIN IEZZI, V. L., LORANGER, S., HARHIRA, A., REHMAN, S., SAAD, M., GOMES, A., KASHYAP, R., «Stimulated Brillouin Scattering for Fiber Sensing Passeve Components», Proceedings of the 7th International Workshop on Optical Fibres and Passive Components, Montreal, Canada, 13-15 juillet 2011, pp. 1-4

[C-109]   LAPOINTE, J., GAGNE, M., HARHIRA, A., KASHYAP, R., «Optical fibre force sensor», Proceedings of the 7th International Workshop on Optical Fibres and Passive Components, Montreal, Canada, 13-15 juillet 2011, pp. 1-4.

[C-110]   LAREAU, E., SIMARD, G., LESAGE, F., SAWAN, M., «Near infrared spectrometer combined with multichannel EEG for functional brain imaging», IEEE-ISMICT, Medical Information & Communication Technology, Montreux, Switzerland, 27-30 mars 2011, pp. 122-126.

[C-111]   MARTEL S., VONTHRON, M., «Interactive system for medical interventions based on magnetic resonance targeting», ACHI 2011 (ARIA-Digital World 2011) Gosier, Guadeloupe, 23-28 février 2011, pp. 1-4

[C-112]   MARTEL S., «Towards mass-scale micro-assembly systems using magnetotactic bacteria», ASME, Int. Manufacturing Science and Engineering Conf., Corvalis, OR, USA, 13-17 juin 2011, pp. 1-4

[C-113]   MILED, A., SAWAN, M., «A New Fully Integrated Dielectrophoretic CMOS Processing Unit for Lab-on-Chip Applications», IEEE-ISCAS, Buenos-Aires, Argentina, mai 2011, pp. 2349-2352.

[C-114]   MILED, A., GAGNE, A., SAWAN, M., «Electrodes Architectures for Dielectrophoretic-Based Cells Manipulation in LoCs: Modeling, Simulation and Experimental Results», IEEE-IMS3TW, San Diego, USA, 16-18 mai 2011, pp. 39-42.

[C-115]   MORADI, A., SAWAN, M., «A_New_FSK-Based Transmitter Dedicated for Low-Power Wireless Medical Transceivers», IEEE-CCECE, Niagara-Falls, Canada, mai 2011, pp. 1238-1241.

[C-116]   NEMOVA, G., KASHYAP, R., «Althermal Ho3 –Doped Amplifier with Two Pumps, CLEO-IQEC, Munich, Germany, 22-26 mai 2011, pp. 1-3.

[C-117]   NEMOVA, G., KASHYAP, R., «Mid-IR fiber amplifier cooled with YB2 + ions», Photonics Society Summer Topical Meeting Series, IEEE, 18-20 juillet 2011, pp. 100-101

[C-118]   NEMOVA, G., KASHYAP, R., «Super-Radiance and Fluorescence are Two Approach to Laser Cooling of Solids», Photonics North, Ottawa, Canada, 16-19 mai 2011, pp. 1-4

[C-119]   NEMOVA, G., KASHYAP, R., «Breaking the Low Phonon Energy Barrier for Laser Cooling in Rare-Earth Doped Hosts», Proceedings of Photonics West, 18-23 janvier 2011, pp. 1-4

[C-120]   OLAMAEI, N., CHERIET, F., BEAUDOIN, G., MARTEL, S., «MRI visualization of a single 15 µm navigable imaging agent and future microrobot», International Conference on Engineeering in Medicine and Biology Society (EMBC), Buenos Aires, Argentine, 31 août – 3 septembre 2010 pp. 4355-4358.

[C-121]   OULD BACHIR, T., DUFOUR, C., DAVID, J.P., MAHSEREDJIAN, J., «Floating-point engines for the FGPA-based real-time simulation of power electronic circuits», IPST, Delft, The Netherlands, 14-17 juin 2011, pp. 1-7.

[C-122]   OULD BACHIR, T., DUFOUR, C., DAVID, J.P., MAHSEREDJIAN, J., BELANGER, J., «Reconfigurable floating-point engines for the real-time stimulation of PECs: a high-speed PMSM drive case study», Electrimacs, Cergy-Pontoise, France, 6-8 juin 2011, pp. 1-6.

[C-123]   OULD BACHIR, T., DAVID, J.-P., «FPGA-based real-time simulation of state-space models using floating-point cores», EPE-PEMC 2010, Ohrid Macedonia, 6-8 septembre 2010, pp. S2-26-S2-31

[C-124]   OULD-BACHIR, T., DUFOUR, C., DAVID, J.-P., «Effective FPGA-based electric motor modeling with floating-point cores», IECON/EEEE, Phoenix, Arizona, USA, 7-10 novembre 2010, pp. 829-834.

[C-125]   POLLINA, M., LECLERC, Y., CONQUET, E., BOIS, G., MOSS, L., «The assert set of tools for engineering (taste) current feature, demonstrator and future evolutions», Proc. of DASIA 2011, San Anton, Malta, mai 2011, pp. 1-4

[C-126]   POULIN, J, LIGHT, P., KASHYAP, R., LARGE, M., LUITEN, A., «Guiding of ultra cols Rubidium atoms using Laguerre-Gaussian beams in hollow core photonic crystal» Photonics India, Guwahati, Inde, decembre 2010 pp. 1-4.

[C-127]   RAJOTTE, S., GILL, D.C., LANGLOIS, J.M.P., «Combining ISA extensions and sub setting for improved ASIP performance and cost», IEEE ISCAS, mai 2011, pp. 653-656

[C-128]   ROGERS-VALLEE, M., CANTIN, M.-A., BOIS, G., MOSS, L., «IP Characterization Methodology for Fast and Accurate Power Consumption Estimation at Transactional Level Model», Proc of IEEE Inter. Conf. on Comp Design (ICCD 2010), Amsterdam, 3-6 octobre 2010,  pp. 534-541.

[C-129]   SAFI-HARB, M., TARIQUS-SALAM, M., MIRABASI, S., NGUYEN, D., SAWAN, M., «A Low-Power High-Sensitivity CMOS Mixed-Signal Seizure-Onset Detector», IEEE-EMBC, Boston, 30 août- 3 septembre 2011, pp. 5847-5850.

[C-130]   SAIZ-VELA, A., MOUNAIM, F., MIRIBEL-CATALA, P., SAWAN, M., SAMITIER, J., «Miniaturizes SiP supply board based on TPVD charge pump ICs for high-voltage biomedical applications», 9th International Conference on Circuits and Systems (NEWCAS) 2011, Bordeaux, France, 26-29 juin 2011, pp. 514-517.

[C-131]   SAOUDI, B., BERCHTIKOU, Z, KASHYAP, R., «Application de la spectroscopie optique d’émission à la mesure de la température des neutres dans des décharges DBD de He et de Ne a la pression atmosphérique», Colloque de Plasma-Québec les plasmas: science, applications, Montréal, Canada, 25-27 mai 2011, pp. 1-4

[C-132]   Sawan, M., MILED, M. A., GHAFAR-ZADEH, E., «CMOS/Micro fluidic Lab-on-chip For Cells-Based Diagnostic Tools», Invited paper at the IEEE-EMBC, Buenos Aires, Argentina, 31 août–4 septembre 2010, pp. 5334-5337.

[C-133]   SEMMAOUI, H., DROLET, J., LAKHSSASSI, A., MARTINEZ-TRUJILLO, J.C., SAWAN, M., «An Energy Detector Applied to Unsupervised Neural Spikes Dtection», IEEE-EMBS Conf. On Neural Engineering, Cancun, Mexique, 27 avril -1er mai 2011, pp. 104-107.

[C-134]   SEMMAOUI, H., DROLET, J., LAKHSSASSI, A., SAWAN, M., «A new approach for higher data reduction capacity based on spike detection technique in wireless multichannel neural recordings», Biomedical Engineering Conference, Caire, Égypte, 16-18 décembre 2010, pp. 154-157.

[C-135]   SIMARD, G., SAWAN, M., MASSICOTTE, D., «Low-Power High-Speed Capacitive Transdermal Spatial Pulse Position Modulation Communication», IEEE-NEWCAS, Bordeaux, France, 26-29 juin 2011, pp. 113-116.

[C-136]   TARIQUS SALAM, M., SAWAN, M., NGUYEN, D.K «Epileptic seizure onset detection prior to clinical manifestation». 32nd Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC 2010), Buenos Aires, Argentine, 31 août – 3 septembre 2010, pp. 6210-6213.

[C-137]   TARIQUS SALAM, M.,  NGUYEN, D., SAWAN, M., «A Multichannel Intracerebral EEG Monitoring system For Epilepsy Presurgical Evaluation», IEEE-CCECE, Niagara-Falls, 8-11 mai 2011, pp. 325-328

[C-138]   TEHRANCHI, A., KASHYAP, R., «Efficient ultra-wideband wavelength Converters based on double-pass cascaded SFG + DFG using engineering QPM gratings», Proceedings of NLO Kauai, USA, 17-22 juillet 2011, pp. 22528-22534.

[C-139]   TEHRANCHI, A., KASHYAP, R., «Flattop wideband wavelength convertes based on cascade sum and difference-frequency generation using step-chirped gratings», Proceedings of Photonics West, Sans Francisco, USA, 18-23 janvier 2011, pp. 785-793.

[C-140]   TEHRANCHI,.A., AHLAWAT, M., XU, C.Q., KASHYAP, R., «Novel Techniques for Guided-Wave Wavelength Conversion», 16th Opto-Electronics and Communications Conference, OECC 2011, Kaohsiung, Taiwan, 4-8 juillet 2011, pp. 331-332

[C-141]   TREMBLAY, C.C., JEAN, J., MARCHAND, L., TURKI, A., CHOUINARD-GAOUETTE, P., BROUSSEAU, M., MOHAMMADI, M., MARTEL, S., «Robotic platform for real-time tracking of a single fast swimming bacterium», Symposium on Optomechatronic Technologies, Montréal, Canada, 25-27 octobre 2010, pp. 1-5.

[C-142]   VALORGE, O., ANDRE, W., SAVARIA, Y., BLAQUIÈRE, Y., «^Power supply analysis of a large area integrated circuit», IEEE-NEWCAS, Bordeaux, France, 26-29 juin 2011, pp. 398-401.

[C-143]   VALORGE, O., BLAQUIÈRE, Y., SAVARIA, Y., «A Spatially Reconfigurable Fast Differential Interface for a Wafer Scale Configurable Platform», IEEE International Conference on Electronics, Circuits and Systems (ICECS), Athènes, Greece, 12–15 Décembre. 2010, pp. 1176–1179.

[C-144]   ZARRABI H., AL-KHALILI A.J., SAVARIA Y., «Repeater Insertion in Power Managed VLSI Systems» Easy Chair Conference system, Lausanne, Suisse, 2-4 mai 2011, pp. 395-398.

[C-145]   ZHENG Y., BEKHICHE, S., SAWAN, M., «Planar Microcoils Array Applied to Magnetic Beads Based Lab-on-chip for high throughput applications», IEEE-ISCAS, Buenos-Aires, Argentina, 15- 18 mai 2011, pp. 2345-2348.

 

AUTRES PUBLICATIONS (invitation)

 

BREVETS

 

[B-1]          MILED, A., ZHENG, Y., SAWAN, M., «Reconfigurable Modular Microfluidic System and Method», PCT/CA2012/050314, WO 2012/155266, novembre 2012.

 

LIVRES

 

[L-1]           O’CONNOR, I., NICOLESCU, G., «Integrated Optical Interconnect Architectures in Embedded Systems» Springer-Verlag, New York, novembre 2012, ISBN-10: 1441961925, 286 p.

[L-2]           O’CONNOR, I., NICOLESCU, G., «Design Technology for Heterogeneous Embedded Systems», Springer 2012, ISBN 978-94-007-1124-2477.


 

INDEX DES AUTEURS


A

AKBARNIAI TEHRANI Mona                                   22

ALLARD-BERNIER, Jessica                                       23

AMERI, Marzieh                                                          24

B

BEN CHEIKH, Taieb Lamine                                      25

BENDALI, Abdelhalim                                                 26

BENHAMMADI, Seddik                                              27

BLOUIN, Frédéric,                                                       28

C

CHARASSE, Sylvain                                                     29

CHEVALIER, Axelle                                                     30

D

DAIGNEAULT, Marc-André                                       31

DROLET, Jonathan                                                      32

F

FARAH, Rana                                                               33

FOMEKONG DONGMO, Armand                             34

FOURMIGUE, Alain                                                   35

G

GAN, Qifeng                                                                  36

GHANE-MOTLAGH, Bahareh                                   37

GHANNOUM, Anthony                                               38

GHOLAM ZADEH Bahareh                                        39

GIL, Diana                                                                    40

GUÉRARD, Hubert                                                      41

GUILLEMOT, Mikaël                                                  42

H

HACHANI, Ahmed                                                       43

HACHED, Sami                                                            44

HAMIE, Ali                                                                   45

HASANUZZAMAN, Md                                               46

HAWI, Firas                                                                  47

HUSSAIN Wasim                                                         48

K

KAMRANI, Ehsan                                                        49

KARIMIAN-SICHANY, Masood                                 50

KASSAB Amal                                                               51

KEITA, Abdoul-kader,                                                  52

KEKLIKIAN, Thalie                                                     53

KOUBAA  Zied                                                              54

KOWARZYK MORENO, Gilbert                                 55

KROUCHEV, Nedialko                                                 56

L

LAFLAMME-MAYER, Nicolas                                   57

LARBANET, Adrien                                                     58

LELAN, Jérôme                                                             59

LEPERCQ, Étienne                                                       60

LEREBOURS Jonas                                                     61

LI, MENG                                                                     62

Li, Nan                                                                           63

M

MASSICOTTE, Geneviève                                            64

MEHRI-DEHNAVI, Marzieh                                      65

MENDEZ,Arnaldo                                                        66

MILED, Mohamed Amine                                            67

MIRZAEI, Marjan                                                        68

MONTEIRO, Fellipe                                                     69

MORADI, Arash                                                           70

MOUNAIM, Fayçal                                                      71

MOURET, Geoffroy                                                      72

N

NABOVATI, Ghazal                                                     73

NAJAPOUR FOROUSHANI, Armin                          74

O

OULD BACHIR, Tarek                                                75

P

PONS Jean-François                                                    76

R

ROBATI, Tiyam                                                             77

ROGERS-VALLÉE, Michel                                         78

S

SALAM, Muhammad Tariqus                                      79

SAVARD, Julien                                                           80

SHARAFI, Azadeh                                                        81

SIADJINE NJINOWA, Marcel                                   82

SIMARD, Guillaume                                                    83

STIMPFLING, Thibaut                                                84

T

TABOUBI, Mohamed                                                   85

TREMBLAY, José Philippe                                           86

TRENTIN, Davide                                                         87

TRIGUI Aref                                                                 88

V

VAKILI, Shervin                                                           89

W

WATSON, Meghan                                                       90

Z

ZGAREN, Mohamed                                                     91

ZHANG, Khai                                                                92

ZHENG, Yushan                                                           93